技术术语表

  #   A   B   C   D   E   F   G   H   I   J   K   L   M   N   O   P   Q   R   S   T   U   V   W     Y   Z  \r\n"}}" id="root_container_container_container_334508928__text-11675" class="cmp-text">

#一个BCDEFGHJKlNOPR年代TUVWXYZ

#

 

\r\n

200mm
\r\n

\r\n
    \r\n
  • A size of silicon wafer approximately 8 inches in diameter. Also used to refer to a tool designed to process wafers of this size.
  • \r\n
\r\n

\r\n

300mm

\r\n
    \r\n
  • A size of silicon wafer approximately 12 inches in diameter. Also used to refer to a tool designed to process wafers of this size.
  • \r\n
\r\n

\r\n

4K RESOLUTION

\r\n
    \r\n
  • An alternative term for the UHD digital video format.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_496888279__text-22666" class="cmp-text">

200毫米

  • 一种直径约8英寸的硅片。也用于指工具设计用于加工这种尺寸的晶圆。

300毫米

  • 一种直径约12英寸的硅片。也用于指工具设计用于加工这种尺寸的晶圆。

4 k的决议

  • 的另一种说法UHD数字视频格式。

回到顶部

一个

 

\r\n

ABATEMENT
\r\n

\r\n
    \r\n
  • A process where toxic or other hazardous substances are removed from a liquid or gas. Examples include removing copper particles from CMP slurry or converting liquid or gaseous toxic effluents into safe forms for disposal.
  • \r\n
\r\n

\r\n

ACCELERATOR

\r\n\r\n

\r\n

ACCEPTOR

\r\n
    \r\n
  • An impurity in a semiconductor that accepts electrons. Boron is the primary acceptor used to dope silicon in the ion implantation process.
  • \r\n
\r\n

\r\n

ACCUMULATOR

\r\n
    \r\n
  • A storage unit used to temporarily store work-in-progress in a manufacturing line.
  • \r\n
\r\n

\r\n

ACTIVATION

\r\n
    \r\n
  • In ion implantation, the process by which atoms are introduced into a portion of a transistor to modify its material properties; in the most common application, dopant atoms become electrically active, i.e. a charge carrier is created and the conductivity of the implanted region is increased.
  • \r\n
  • When dopant atoms are implanted, the silicon crystal lattice is disrupted, or amorphized. The lattice is subsequently repaired using RTP, during which process the dopant ions occupy substitutional sites in the lattice and a charge carrier is created.
  • \r\n
\r\n

\r\n

ACTIVE MATRIX OLED DISPLAY (AMOLED)

\r\n
    \r\n
  • A type of display that uses an array of electroluminescent OLED pixels controlled by thin-film transistors.
  • \r\n
  • Each pixel of an AMOLED display produces light directly, unlike a TFT-LCD where the entire display is illuminated from behind by a backlight and selectively allowed through by thin-film transistors controlling the polarization of the liquid crystal at each pixel.
  • \r\n
  • The key benefit of AMOLED displays compared to TFT-LCDs is that because "off" pixels consume no power, the overall power consumption is significantly lower.
  • \r\n
\r\n

 

\r\n

ADVANCED BINARY MASK
\r\n

\r\n
    \r\n
  • A type of binary photomask that uses an opaque MoSi layer as the light-absorbing layer. An extremely thin Cr layer is placed on top and used as a hard mask for the etch process. Also called an opaque MoSi on glass (OMOG) photomask.
  • \r\n
\r\n

\r\n

ADVANCED PROCESS CONTROL (APC)

\r\n\r\n

\r\n

ALD (ATOMIC LAYER DEPOSITION)

\r\n
    \r\n
  • A thin film deposition technique where material is deposited a fraction of a monolayer of material at a time.
  • \r\n
\r\n

\r\n

ALPS (ADVANCED LOW-PRESSURE SOURCE)

\r\n
    \r\n
  • PVD process performed at low pressure and large target-to-wafer distance to create a directional flux of deposited species.
  • \r\n
\r\n

\r\n

ALTERNATING APERTURE PHASE SHIFT MASK (AAPSM or alt-APSM)

\r\n
    \r\n
  • A type of PSM that has regions of the quartz substrate etched to different depths so as to introduce a 180 degree phase shift in the transmitted light to improve the contrast and thus the resolution of the projected image on the wafer.
  • \r\n
\r\n

\r\n

ALUMINUM INTERCONNECT

\r\n
    \r\n
  • Aluminum pathways within a microchip that make connections between the transistors and other circuit elements.
  • \r\n
\r\n

\r\n

AMORPHIZATION

\r\n
    \r\n
  • Disruption of the crystal lattice structure of a material due to ion implantation. The lattice can be repaired using RTP. In the pre-amorphization technique, the lattice is intentionally disrupted before implantation.
  • \r\n
\r\n

\r\n

AMORPHOUS SILICON (a-Si)

\r\n
    \r\n
  • A type of silicon deposited without a crystal structure.
  • \r\n
  • In PV, amorphous silicon is an important thin film technology.
  • \r\n
  • In LCD manufacturing, a-Si is the most widely used backplane type.
  • \r\n
\r\n

\r\n

ANALYZER MAGNET

\r\n
    \r\n
  • In ion implantation, a magnet used to analyze ion species and select the desired ions based on atomic weight.
  • \r\n
\r\n

\r\n

ANGSTROM (Å)

\r\n
    \r\n
  • A unit of length; one ten-billionth of a meter.
  • \r\n
\r\n

\r\n

ANNEAL

\r\n
    \r\n
  • A high- temperature processing step designed to repair defects in the crystal structure of the wafer or induce phase transformations.
  • \r\n
\r\n

\r\n

AOI (AUTOMATED OPTICAL INSPECTION)

\r\n
    \r\n
  • Automated visual post-print inspection where a camera autonomously scans a printed substrate for quality control and defect detection.
  • \r\n
\r\n

\r\n

APC (AUTOMATED PROCESS CONTROL)

\r\n\r\n

\r\n

APERTURE

\r\n
    \r\n
  • In ion implantation, an opening through which an ion beam is directed that defines the shape and size of the beam going forward.
  • \r\n
\r\n

\r\n

APF (ADVANCED PATTERNING FILMS)

\r\n\r\n

\r\n

ARC (ANTI-REFLECTIVE COATING)

\r\n
    \r\n
  • A light-absorbing layer (typically titanium nitride), deposited on top of metal or polysilicon, to improve lithography performance.
  • \r\n
\r\n

\r\n

ASC (AUTOMATED SCREEN CLEANER)

\r\n
    \r\n
  • Automatic cleaning feature to clean the bottom of the printing screen through the simultaneous movement of a fabric pad mounted on a shuttle and the printing head, thus replacing the traditional procedure performed by operator.
  • \r\n
\r\n

\r\n

ASPECT RATIO

\r\n
    \r\n
  • The ratio of depth to width of a circuit feature such as a via or contact.
  • \r\n
\r\n

 

\r\n

ATTENUATED PHASE SHIFT MASK (APSM)
\r\n

\r\n
    \r\n
  • A type of PSM that allows a small amount of light to be transmitted through certain regions to interfere with the light coming from transparent parts of the mask, with the goal again of improving the contrast on the wafer.
  • \r\n
\r\n

\r\n

AUTOCLAVE

\r\n
    \r\n
  • In PV module manufacturing, an autoclave is used to remove trapped air and improve adhesion between laminating film and glass substrates by subjecting the module to elevated temperature and pressure.
  • \r\n
\r\n

\r\n

AUTOMATED MATERIAL HANDLING SYSTEM (AMHS)

\r\n
    \r\n
  • Any equipment that has a carrier transfer robot that moves cassettes, pods, or FOUPs to and from stationary equipment.
  • \r\n
\r\n

\r\n

AUTOMATIC DEFECT CLASSIFICATION (ADC)

\r\n
    \r\n
  • A technique employed by wafer inspection systems whereby defects are placed into several categories based on their physical and optical properties.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_496888279__343275798_text-52902" class="cmp-text">

减轻

  • 从液体或气体中去除有毒或其他有害物质的过程。例如去除铜颗粒CMP泥浆或将液体或气体有毒流出物转化为安全形式以供处置。

加速器

受体

  • 杂质半导体中能接受电子的杂质硼是硅的主要受体离子注入的过程。

蓄电池

  • 在生产线上临时储存在制品的存储单元。

激活

  • 离子注入将原子引入晶体管的一部分以改变其材料特性的过程;在最常见的应用中,掺杂剂原子具有电活性,即带电航空公司并增加了植入区域的导电性。
  • 当掺杂原子被注入时,硅晶体晶格被打乱了,或者amorphized。晶格随后被修复使用RTP,在此过程中,掺杂离子在晶格中占据取代位置,并占据一个电荷航空公司被创建。

有源矩阵oled显示器(amoled)

  • 一种使用电致发光阵列的显示器OLED薄膜晶体管。
  • AMOLED显示器的每个像素都直接产生光,不像普通液晶显示器液晶显示器整个显示器由背光从后面照亮,并由薄膜晶体管选择性地通过,控制液晶在每个像素处的偏振。
  • 与tft - lcd相比,AMOLED显示器的主要优点是,由于“关闭”像素不消耗电量,因此整体功耗显著降低。

高级二进制掩码

  • 一种二元光掩模它使用不透明的MoSi层作为吸光层。一层极薄的铬层被放置在顶部,并用作一个硬掩模用于蚀刻过程。也称为玻璃上不透明MoSi (OMOG)掩模。

先进过程控制(apc)

Ald(原子层沉积)

  • 薄膜沉积一次只沉积一小部分单层材料的技术。

阿尔卑斯(高级低压源)

交替孔径相移掩模(AAPSM或alt-APSM)

  • 一种PSM它将石英衬底的区域蚀刻到不同的深度,以便在透射光中引入180度的相移,以提高对比度,从而提高晶圆上投影图像的分辨率。

铝互连

  • 微芯片内连接晶体管和其他电路元件的铝通道。

无定形化

非晶硅(a-Si)

  • 一种没有晶体结构的沉积硅。
  • 光伏,非晶硅是重要的薄膜技术。
  • 液晶显示器在制造业中,a-Si的应用最为广泛底板类型。

分析仪磁铁

  • 离子注入,一种用于分析离子种类并根据原子量选择所需离子的磁铁。

埃(A)

  • 单位:长度单位;一米的百亿分之一

退火

  • 为修复晶圆晶体结构中的缺陷或诱导相变而设计的一种高温加工步骤。

Aoi(自动光学检测)

  • 自动视觉印刷后检查,相机自动扫描印刷基板进行质量控制和缺陷检测。

自动过程控制

孔径

  • 离子注入这是离子束被引导的一个开口,它决定了离子束向前移动的形状和大小。

Apf(高级模压薄膜)

电弧(防反射涂层)

  • 一种吸光层(通常是氮化钛),沉积在金属或多晶硅上,以改善光刻技术的性能。

Asc(自动屏幕清洁器)

  • 自动清洗功能,通过安装在梭上的织物垫和打印头的同时运动来清洗印刷丝网底部,从而取代了操作员执行的传统程序。

纵横比

衰减相移掩模(apsm)

  • 一种PSM这使得少量的光可以通过某些区域传输,从而干扰来自掩模透明部分的光,目的又是提高晶圆上的对比度。

高压灭菌器

  • 在光伏组件制造中,使用高压灭菌器去除滞留的空气,并通过使组件处于升高的温度和压力下来提高复合膜与玻璃基板之间的附着力。

自动物料搬运系统(amhs)

  • 任何带有移动磁带、豆荚或磁带的搬运机器人的设备foup往返于固定设备。

自动缺陷分类(adc)

回到顶部

B

 

\r\n

BACK CONTACT

\r\n
    \r\n
  • A metallic layer that covers the entire back surface of a solar PV cell and acts as a conductor.
  • \r\n
  • Also used to refer to advanced cell designs such as EWT where both terminals of the cell are located on the back side of the wafer, thus increasing the light-gathering area of the cell and hence improving conversion efficiency.
  • \r\n
\r\n

\r\n

BACK GLASS

\r\n
    \r\n
  • The bottom layer of a thin film solar module, which provides rigidity and electrical insulation. Current is extracted from the module through the junction box that is attached to the circuit through a hole in the back glass.
  • \r\n
\r\n

\r\n

BACKPLANE

\r\n\r\n

\r\n

BAKEOUT

\r\n
    \r\n
  • The technique of accelerating the degassing of surfaces of a vacuum system or a component by heating during the vacuum pumping process. Used to reduce the time taken to reach UHV pressures.
  • \r\n
\r\n

\r\n

BALANCE OF SYSTEM (BOS)

\r\n
    \r\n
  • The components in addition to solar modules necessary to make a functioning solar PV generating system, including a mounting structure, cabling, inverters, land and maintenance.
  • \r\n
\r\n

\r\n

BARRIER

\r\n
    \r\n
  • A physical layer designed to prevent intermixing of the layers above and below the barrier layer.
  • \r\n
\r\n

\r\n

BATCH PROCESS

\r\n
    \r\n
  • A process sequence that treats more than one wafer simultaneously, as opposed to single-wafer (serial) processing.
  • \r\n
\r\n

\r\n

BEAM CATCHER

\r\n\r\n

\r\n

BEAM CONTAMINATION

\r\n
    \r\n
  • In ion implantation, any undesirable species or ion charge in the ion beam.
  • \r\n
\r\n

 

\r\n

BEAM CURRENT (IB)
\r\n

\r\n
    \r\n
  • The scan current in the end station of an ion implanter, defined as the product of the number, velocity and charge of the species in the beam.
  • \r\n
\r\n

\r\n

BEAM FILTER

\r\n\r\n

\r\n

BEAMLINE

\r\n\r\n

\r\n

BEOL (BACK-END OF LINE)

\r\n
    \r\n
  • The series of process steps after transistor fabrication through completion of the wafer, prior to electrical test. Also known as the back-end of semiconductor manufacturing. The term back end is also used to refer to those parts of chip manufacturing after the wafer is complete, i.e. dicing, packaging and test.
  • \r\n
\r\n

\r\n

BIN YIELD

\r\n
    \r\n
  • In LED manufacturing, the proportion of devices made on wafer that meet the desired specification for wavelength, luminous efficacy and forward voltage.
  • \r\n
\r\n

\r\n

BINARY MASK

\r\n
    \r\n
  • photomask covered with a pattern defined with a light-absorbing film, typically of chromium. Optically, this is the simplest type of photomask, lacking the phase-shifting features of PSM and APSM types. See also Advanced Binary Mask.
  • \r\n
\r\n

\r\n

BIT DENSITY

\r\n
    \r\n
  • A measure of how closely packed memory cells are in a given area of the substrate of a memory device.
  • \r\n
  • Generally speaking, higher bit density is desirable because it tends to increase performance and decrease cost-per-bit.
  • \r\n
  • Typically measured in bits per square inch.
  • \r\n
\r\n

\r\n

BIT LINE

\r\n
    \r\n
  • Lines through which information is written/read to/from memory cells.
  • \r\n
\r\n

\r\n

BIVP (BUILDING-INTEGRATED PHOTOVOLTAICS)

\r\n
    \r\n
  • Photovoltaic materials used to replace conventional building materials in parts of the building envelope such as the roof, skylights or facades.
  • \r\n
\r\n

\r\n

BOOTIES

\r\n
    \r\n
  • Shoe covers worn to reduce contamination in a cleanroom.
  • \r\n
\r\n

\r\n

BOTTOM COVERAGE

\r\n
    \r\n
  • A parameter used in deposition to describe the ability of a process to deposit material in the bottom of circuit features compared to the top surface of the wafer, or field. It is defined as the ratio of the film thickness on the field divided by the film thickness at the bottom of a given feature.
  • \r\n
\r\n

\r\n

BPSG (BOROPHOSPHOSILICATE GLASS)

\r\n
    \r\n
  • An amorphous insulating material made by doping SiO2 with boron and phosphorus to improve moisture resistance and reflow characteristics.
  • \r\n
\r\n

\r\n

BRIGHTFIELD INSPECTION

\r\n
    \r\n
  • defect inspection technique that collects light reflected from a defect, creating an image in which a defect appears dark against a white background. Generally speaking, brightfield systems are more sensitive, but slower than darkfield inspection. Brightfield inspection is typically used to find patterning defects during transistor fabrication.
  • \r\n
\r\n

\r\n

BUNNY SUIT

\r\n
    \r\n
  • A total-body garment worn by personnel in a cleanroom to reduce release of particles and contaminants into the air.
  • \r\n
\r\n

\r\n

BUSS LINE

\r\n
    \r\n
  • In a thin film PV module, relatively large conductive ribbons that collect power from individual solar cells.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_text-68016" class="cmp-text">

回来联系

  • 覆盖太阳能电池整个背面的金属层光伏细胞和作为导体。
  • 也用来指先进的电池设计,如其中电池的两个终端都位于晶圆的背面,从而增加了电池的光聚集面积,从而提高了转换效率。

后面的玻璃

  • 薄膜太阳能组件的底层,它提供刚性和电气绝缘。电流从模块中通过接线盒它通过后面玻璃上的一个洞连接到电路上。

底板

烘烤

  • 在抽真空过程中通过加热加速真空系统或部件表面脱气的技术。用于减少达到特高压压力所需的时间。

系统平衡(bos)

  • 除了太阳能组件外,要使太阳能光伏发电系统正常运行,还必须包括安装结构、电缆、逆变器、土地和维护。

障碍

  • 一种用于防止屏障层上下各层混合的物理层。

批处理

  • 一种同时处理多个晶圆的工艺顺序,与单片(串行)加工相对。

梁捕手

梁污染

  • 离子注入,离子束中任何不受欢迎的物种或离子电荷。

光束电流(ib)

  • 离子注入器末端站的扫描电流,定义为束中物种的数量、速度和电荷的乘积。

梁过滤器

BEAMLINE

Beol(行后端)

  • 从晶体管制造到晶圆完成,在电气测试之前的一系列工艺步骤。又称后端半导体制造。后端这个术语也用来指晶圆完成后芯片制造的那些部分,即切丁、封装和测试。

本收益

二元掩模

  • 一个光掩模覆盖有典型的铬的吸光膜的图案。在光学上,这是最简单的掩模类型,缺乏相移的特征PSMAPSM类型。另请参阅高级二进制掩码

位密度

  • 一种测量存储设备衬底的给定区域内存储单元的紧密程度的方法。
  • 一般来说,较高的比特密度是可取的,因为它倾向于提高性能并降低每比特成本。
  • 通常以每平方英寸比特数来衡量。

位线

  • 将信息写入/读取到/从存储单元的行。

建筑集成光伏(Bivp)

  • 光伏材料用于取代建筑围护结构部分的传统建筑材料,如屋顶、天窗或立面。

底部覆盖

  • 用于沉积描述一种工艺在电路特征底部沉积材料的能力,与晶圆的顶部表面相比,或。它被定义为电场上的薄膜厚度除以给定特征底部的薄膜厚度的比值。

硼磷硅酸盐玻璃

  • 一种非晶态绝缘材料,由硼和磷掺杂SiO2制成,以提高防潮性和回流特性。

BRIGHTFIELD检验

  • 一个缺陷检查一种收集缺陷反射光的技术,在这种技术中,缺陷在白色背景下呈现黑色。一般来说,明场系统更敏感,但比暗视野检查。布莱特菲尔德检测通常用于发现晶体管制造过程中的图样缺陷。

兔子套装

  • 警服:一所监狱工作人员穿的全身服装洁净室减少颗粒和污染物排放到空气中。

巴斯线

  • 在薄膜光伏组件中,从单个太阳能电池收集电能的相对较大的导电带。

回到顶部

C

 

\r\n

CAPACITANCE
\r\n

\r\n
    \r\n
  • The degree to which a material can store an electrical charge.
  • \r\n
\r\n

\r\n

CAPACITOR

\r\n
    \r\n
  • An electrical component used to temporarily store a charge. It consists of two conducting surfaces separated by a non-conducting dielectric.
  • \r\n
\r\n

\r\n

CARRIER

\r\n
    \r\n
  • An electron or hole that carries electric charge through a conducting or semiconducting material.
  • \r\n
\r\n

\r\n

CARRIER MOBILITY

\r\n
    \r\n
  • A measure, typically specified in cm2/V·s, of how rapidly a charge carrier (an electron or hole) can move through a semiconductor in response to an applied electric field. The conductivity of a material is proportional to mobility multiplied by the concentration of carriers. High mobility is highly desirable in semiconductor devices because it leads to higher device performance through faster transistor switching.
  • \r\n
\r\n

\r\n

CASSETTE

\r\n
    \r\n
  • A metal or plastic open container used for transporting wafers (usually 25) to and from a tool. Cassettes protect wafers from damage that could be caused by direct handling.
  • \r\n
\r\n

\r\n

CD-SEM (CRITICAL DIMENSION SCANNING ELECTRON MICROSCOPE)

\r\n\r\n

\r\n

CD-TE

\r\n
    \r\n
  • A category of thin-film solar cells that uses a cadmium-tellurium compound as the light-converting active layer.
  • \r\n
\r\n

\r\n

CDU (CRITICAL DIMENSION UNIFORMITY)

\r\n
    \r\n
  • a parameter used in etch to describe precision of the etch process. CDU is defined as the variation of the size of a repeating feature from its nominal value (CD) measured at several points across the substrate.
  • \r\n
\r\n

\r\n

CFD

\r\n

Computational Fluid Dynamics, a branch of fluid mechanics that uses numerical methods and algorithms to solve and analyze problems that involve fluid flows,

\r\n

\r\n

CHANNEL

\r\n
    \r\n
  • In a FET, the channel is the semiconducting region through which electrons flow between the source and drain terminals, as controlled by a voltage applied to the gate.
  • \r\n
\r\n

\r\n

CHANNELING

\r\n
    \r\n
  • In ion implantation, channeling occurs when some ions in a beam strike the wafer between atomic lattice structures of single-crystal silicon and penetrate deeper than the other ions. Channeling is undesirable since the depth of the implant cannot be accurately calculated or controlled. Channeling can be reduced by tilting or rotating the wafer, covering its surface with a screen oxide, or pre-amorphizing the silicon.
  • \r\n
\r\n

\r\n

CHARGE EXCHANGE

\r\n
    \r\n
  • The loss of an electron by an atomic particle to an ionized atom.
  • \r\n
\r\n

\r\n

CIS (CIGS)

\r\n
    \r\n
  • Copper Indium Diselenide: a type of thin film solar cell material that uses a compound of copper, indium, selenium. A fourth element, gallium, may also be added to the compound (CIGS) to achieve higher efficiency.
  • \r\n
\r\n

\r\n

CLEANROOM

\r\n
    \r\n
  • An area in a fab where the air is conditioned to remove airborne particles that could prevent the correct function of semiconductor devices.
  • \r\n
\r\n

\r\n

CMOS (COMPLEMENTARY METAL OXIDE SEMICONDUCTOR)

\r\n
    \r\n
  • MOS device consisting of paired p-channel and n-channel transistors.
  • \r\n
  • Also used to refer to the family of manufacturing processes used to construct integrated circuits that feature CMOS transistors.
  • \r\n
\r\n

\r\n

CMP (CHEMICAL MECHANICAL PLANARIZATION)

\r\n
    \r\n
  • A process that uses an abrasive, chemically active slurry to physically abrade the microscopic topographic features on a partly processed wafer so that subsequent processes can begin from a flat surface. Also referred to as chemical mechanical polishing.
  • \r\n
\r\n

\r\n

CO-IMPLANTATION

\r\n
    \r\n
  • An ion implantation technique that implants two species into the same region of the material in order to improve the electrical properties of the doped region, typically to improve transistor performance.
  • \r\n
  • For example, non-dopant atoms, such as fluorine or nitrogen may be co-implanted with dopants such as boron to produce ultra-shallow PMOS transistor channels with improved dopant activation and a very sharp transition from the doped to the undoped regions.
  • \r\n
\r\n

\r\n

CO-PLANARITY

\r\n
    \r\n
  • The bottom surface of the package is parallel to the landing surface of the printed circuit board
  • \r\n
\r\n

\r\n

COLOR FILTER

\r\n
    \r\n
  • A layer of an LCD flat panel display that is divided into transparent areas of red, green and blue, each of which overlays a transistor which is switched on an off to the full range of colors.
  • \r\n
\r\n

\r\n

COMPUTER AIDED DESIGN (CAD)

\r\n
    \r\n
  • The use of computer systems and software to aid creation, modification, or analysis of 2D or 3D designs.
  • \r\n
\r\n

\r\n

COMPUTER INTEGRATED MANUFACTURING (CIM)

\r\n
    \r\n
  • A manufacturing approach using computers to control the entire production process, allowing individual steps to exchange information and initiate actions.
  • \r\n
\r\n

\r\n

CONDUCTOR

\r\n
    \r\n
  • A material that contains mobile charge carriers, such as electrons or ions.
  • \r\n
\r\n

\r\n

CONTACT

\r\n
    \r\n
  • A feature on a chip that forms the electrical pathway between the first interconnect layer and the transistor. This area is often filled with tungsten.
  • \r\n
\r\n

\r\n

CONTINUOUS WAVE

\r\n
    \r\n
  • In RF plasma generation, refers to a waveform that is maintains a constant frequency and amplitude, as opposed to "pulsed" delivery where the supply is modulated, typically between two different amplitudes, at a frequency in the 100-1000Hz range.
  • \r\n
\r\n

\r\n

COPPER INTERCONNECT

\r\n
    \r\n
  • An interconnect structure using copper as the conducting material, providing improved device speed and lower power consumption compared with aluminum interconnects.
  • \r\n
\r\n

\r\n

COPPER SEED LAYER

\r\n\r\n

\r\n

CPD (CONFORMAL PLASMA DOPING)

\r\n
    \r\n
  • doping process that deposits a conformal layer of material containing the desired dopant species and then uses a thermal process to drive the dopants to a controlled depth in the underlying circuit structures. CPD provides a means to dope complex, 3D structures. Doping is traditionally performed by ion implantation, which bombards the wafer with dopant ions moving at high speed. However, this line-of-sight bombardment process cannot provide uniform doping of 3D structures. More importantly, the fast-moving ions can damage the ultra-thin semiconductor layers in cutting-edge chips. CPD is designed to solve both problems.
  • \r\n
\r\n

 

\r\n

CRITICAL DIMENSION (CD)
\r\n

\r\n
    \r\n
  • In photolithography, CD is the minimum features size that is to be patterned on the wafer. In other semiconductor processes, CD is the size of a feature found at several points across the substrate used to describe the accuracy or other characteristic of a given process.
  • \r\n
\r\n

\r\n

CROSSTALK

\r\n
    \r\n
  • An undesirable effect whereby a signal in a circuit element, such as an interconnect line, affects the signal in another nearby circuit. In semiconductors, the coupling is usually a result of parasitic capacitance between the two circuits.
  • \r\n
\r\n

 

\r\n

CRYOGENIC COOLING
\r\n

\r\n
    \r\n
  • Reducing the temperature of a material to -100°C or lower.
  • \r\n
\r\n

\r\n

CRYOGENIC IMPLANTATION

\r\n\r\n

\r\n

CRYOPUMP

\r\n
    \r\n
  • A type of secondary vacuum pump that captures gas molecules by cryogenically freezing and absorbing them. Cryopumps are capable of creating a very high vacuum but must periodically be regenerated, i.e. allowed to return to ambient temperature to desorb and pump away the captured gas species.
  • \r\n
\r\n

\r\n

CRYSTALLINE

\r\n
    \r\n
  • A material that has atoms arranged in an ordered periodic array.
  • \r\n
\r\n

 

\r\n

CRYSTALLINE SILICON (c-Si)
\r\n

\r\n
    \r\n
  • A generic term for solar cell technology that uses a substrate of purified silicon in a crystalline structure.
  • \r\n
\r\n

\r\n

CVD (CHEMICAL VAPOR DEPOSITION)

\r\n
    \r\n
  • A process for depositing thin films by exposing the substrate to one or more volatile precursors, which react and/or decompose on the substrate surface.
  • \r\n
\r\n

\r\n

CYCLE TIME

\r\n
    \r\n
  • The amount of time required for a wafer to process through an particular part of the manufacturing process.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_496888279_text-85935" class="cmp-text">

电容

  • 一种材料能储存电荷的程度。

电容器

  • 用来暂时储存电荷的电子元件。它由两个导电表面和一个非导电表面隔开介质

航空公司

  • 一个电子或者带电荷通过导电材料或半导体材料的。

载流子迁移率

  • 一种测量载流子(电子或电子)速度的方法,通常以平方厘米/伏·秒为单位)可以在外加电场的作用下穿过半导体。材料的导电性与迁移率乘以载流子的浓度成正比。高移动性在半导体器件中是非常需要的,因为它通过更快的晶体管开关导致更高的器件性能。

盒式磁带

  • 一种金属或塑料的开口容器,用于将晶圆(通常为25片)运送到工具或从工具运送出去。卡带保护晶圆免受直接操作可能造成的损坏。

临界尺寸扫描电子显微镜

CD-TE

  • 一种使用镉碲化合物作为光转换活性层的薄膜太阳能电池。

临界尺寸均匀性

  • 在蚀刻中用来描述刻蚀精度的参数腐蚀的过程。CDU定义为重复特征的大小从其标称值(CD)测量的几个点横跨底物

计算流体动力学

计算流体力学是流体力学的一个分支,它使用数值方法和算法来解决和分析涉及流体流动的问题,

通道

通灵

  • 离子注入,当光束中的一些离子在单晶硅的原子晶格结构之间撞击晶圆,并比其他离子穿透得更深时,就会发生通道。由于植体深度无法精确计算或控制,因此不希望出现沟槽。可以通过倾斜或旋转晶圆片、用屏蔽氧化物覆盖其表面或硅预非晶化来减少沟道。

电荷交换

  • 原子粒子向电离原子失去一个电子。

独联体(香烟)

  • 铜铟二硒化物:一种薄膜太阳能电池材料,使用铜,铟,硒的化合物。第四种元素镓也可以添加到化合物(CIGS)中以获得更高的纯度效率

洁净室

  • 晶圆厂中的一个区域,在这里空气经过调节,以去除空气中可能妨碍半导体器件正常工作的颗粒。

互补金属氧化物半导体

  • 一个金属氧化物半导体由成对p-组成的装置通道和n通道晶体管。
  • 也用来指用于构建以CMOS晶体管为特征的集成电路的制造工艺家族。

化学机械平面化

  • 一种使用磨料、化学活性浆料对部分加工的晶圆进行物理研磨,从而使后续加工可以从平面开始的工艺。也称为化学机械抛光。

CO-IMPLANTATION

  • 一个离子注入在材料的同一区域内植入两种物质以提高掺杂区域的电学性能的技术,通常是为了提高晶体管的性能。
  • 例如,非掺杂原子,如氟或氮,可与掺杂物如硼能产生超浅层管理办公室晶体管渠道改进型掺杂剂激活从掺杂区域到未掺杂区域的转变非常明显。

CO-PLANARITY

  • 所述封装的底面与所述印刷电路板的落地面平行

滤色器

  • 液晶平板显示器的一层,它被分成红、绿、蓝的透明区域,每一透明区域都覆盖在一个晶体管上,晶体管可以开关到所有的颜色范围。

计算机辅助设计(cad)

  • 利用计算机系统和软件来帮助创建、修改或分析2D或3D设计

计算机集成制造(cim)

  • 一种利用计算机控制整个生产过程的制造方法,允许各个步骤交换信息并发起行动。

导体

  • 含移动载流子的物质,如电子或离子

联系

  • 芯片上的一种元件,它在第一个元件和第一个元件之间形成电通路互连层和晶体管。这个地区经常被钨填满。

连续波

  • 在射频等离子体生成,指的是保持恒定频率和振幅的波形,而不是“脉冲”传输,其中电源被调制,通常在两个不同的振幅之间,频率在100-1000Hz范围内。

铜互连

  • 一个互连采用铜作为导电材料的结构,与铝互连相比,提供了更高的器件速度和更低的功耗。

铜籽层

CPD(共形等离子体掺杂)

  • 一个兴奋剂沉积含有所需材料的保形层的过程掺杂剂物种,然后使用热过程来驱动掺杂剂到底层电路结构的控制深度。CPD提供了一种方法来掺杂复杂的3D结构。掺杂传统上是由离子注入,它用高速移动的掺杂离子轰击晶圆。然而,这种视距轰炸过程不能提供三维结构的均匀掺杂。更重要的是,快速移动的离子会破坏尖端芯片中的超薄半导体层。CPD旨在解决这两个问题。

临界尺寸(cd)

  • 光刻, CD是要在图形上刻印的最小特征尺寸晶片。在其他半导体制程中,CD是在整个半导体的几个点上发现的一个特征的大小底物用于描述给定过程的准确性或其它特性。

相声

  • 一种不受欢迎的效果,电路元件中的信号,如互连线路,影响附近另一个电路的信号。在半导体中,耦合通常是由于寄生电容在两个电路之间。

低温冷却

  • 将材料的温度降低到-100°C或更低。

低温植入

低温泵

  • 一种二级真空泵,通过低温冻结和吸收气体分子来捕获气体分子。低温泵能够创造一个非常高的真空,但必须定期再生,即允许返回到环境温度,以解吸和抽走捕获的气体物种。

水晶

  • 一种原子以周期性有序排列的物质。

晶体硅(c-Si)

  • 一种太阳能电池技术的总称,它使用晶体结构的纯硅衬底。

CVD(化学气相沉积)

  • 一种通过曝光来沉积薄膜的方法底物到一个或多个挥发性前驱体,其在基底表面反应和/或分解。

周期时间

  • 晶圆片在制造过程中加工某一特定部分所需的时间。

回到顶部

D

 

\r\n

DAMAGE ENGINEERING

\r\n
    \r\n
  • A class of techniques using in ion implantation used to control the electrical characteristics of a semiconductor device by intentionally disrupting the silicon crystal structure.
  • \r\n
  • In particular, damage engineering is used to control the depth to which dopants are diffused by adjusting ion dose rate, employing cryogenic implantation, and/or using co-implant species.
  • \r\n
  • In fabricating transistor with very small geometries, damage engineering is used to enable performance-enhancing techniques such source-drain extensionspre-silicide and strain engineering.
  • \r\n
\r\n

\r\n

DAMASCENE

\r\n
    \r\n
  • A means of creating copper metal interconnects by over-filling trenches in the interlayer dielectric using ECD then using CMP to remove the excess copper.
  • \r\n
\r\n

\r\n

DARC (DIELECTRIC ANTI-REFLECTIVE COATING)

\r\n
    \r\n
  • A non-reflective, non-energy-absorbing, inorganic dielectric layer deposited on top of metal or polysilicon to improve lithography performance.
  • \r\n
\r\n

\r\n

DARKFIELD INSPECTION

\r\n
    \r\n
  • defect inspection technique that uses detectors that collect scattered light to make a defect appear bright against a dark background. Typically used to find particles on wafers during interconnect fabrication. Compare with brightfield inspection.
  • \r\n
\r\n

 

\r\n

DEEP ULTRAVIOLET (DUV)
\r\n

\r\n
    \r\n
  • The portion of the ultraviolet light spectrum with wavelengths below 300nm.
  • \r\n
\r\n

\r\n

DEFECT INSPECTION

\r\n
    \r\n
  • A process where defects are located on a patterned wafer. A list of defect locations is created and passed to a DR-SEM for review and classification.
  • \r\n
\r\n


\r\n

DEFECT REVIEW SCANNING ELECTRON MICROSCOPE (DR-SEM)
\r\n

\r\n
    \r\n
  • A type of scanning electron microscope used to classify defect types during the wafer manufacturing process and determine whether these defects will affect chip yields.
  • \r\n
\r\n

\r\n

DEPOSITION

\r\n
    \r\n
  • A process used to deposit a thin layer of insulating or conductive material onto the substrate.
  • \r\n
\r\n

\r\n

DESIGN RULES

\r\n
    \r\n
  • Rules that outline geometry and connectivity restrictions for the design and layout of integrated circuits.
  • \r\n
\r\n

\r\n

DIE

\r\n
    \r\n
  • In semiconductor manufacturing, the area of the silicon wafer on which a functional circuit is fabricated. Many hundreds of identical dies (alternative plurals are die and dice) are fabricated on each wafer.
  • \r\n
\r\n

\r\n

DIELECTRIC

\r\n
    \r\n
  • An insulator
  • \r\n
  • Also used more specifically to refer to an insulator that may be polarized by an applied electric field. Two dielectrics commonly used in semiconductor processing are silicon dioxide (SiO2) and silicon nitride (Si3N4).
  • \r\n
\r\n

\r\n

DISK

\r\n
    \r\n
  • The large conical wheel on a batch processing ion implanter used for holding wafers during ion implantation. A wafer is mounted at the end of each "spoke" of the disk. As the disks spins, each wafer in turn passes through the ion beam which is scanned radially to deliver a uniform dose across each wafer.
  • \r\n
\r\n

\r\n

DOPANT

\r\n
    \r\n
  • An impurity added in controlled amounts to a material in order to modify some intrinsic characteristic, such as resistivity or melting point. The addition of a dopant to a semiconductor creates a material with predominantly negative (n-type) or positive (p-type) charge carriers depending on the dopant species.
  • \r\n
\r\n

\r\n

DOPANT PASTE

\r\n
    \r\n
  • A viscous liquid or suspension containing dopant material.
  • \r\n
\r\n

\r\n

DOPING

\r\n
    \r\n
  • The introduction of impurities, or dopants. into the crystal lattice of a material to modify its electrical properties. To create n-type regions, arsenic (As), arsine (AsH3), phosphine (PH3) and antimony (Sb) are commonly used. For p-type regions, typical dopants are boron (B), Boron Difluoride (BF2) and Boron Trifluoride (BF3).
  • \r\n
\r\n

\r\n

DOSE

\r\n
    \r\n
  • The total amount of dopants measured in ions/cm2 needed to give the implanted wafer the desired electrical properties.
  • \r\n
\r\n

\r\n

DOSE PROCESSOR

\r\n
    \r\n
  • A precision current measuring device used to calculate the total number of ions implanted into a wafer. The function is sometimes combined with uniformity monitoring.
  • \r\n
\r\n

\r\n

DOUBLE PATTERNING

\r\n
    \r\n
  • A class of patterning techniques designed to double the density of circuit features that can be produced on the wafer at the resolution limit of a particular lithography stepper.
  • \r\n
\r\n

\r\n

DOUBLE PRINT

\r\n
    \r\n
  • A technique used in solar PV manufacturing where contact lines or other structures are built up in multiple, precisely-aligned screen printing operations.
  • \r\n
  • Example applications of double print include the fabrication of narrower, taller contact lines and selective emitter cell types.
  • \r\n
\r\n

\r\n

DPN (DECOUPLED PLASMA NITRIDATION)

\r\n
    \r\n
  • a method that uses inductive coupling to generate nitrogen plasma and incorporate nitrogen into the top surface layer of an ultra-thin gate oxide to increase the dielectric constant of the gate dielectric.
  • \r\n
\r\n

\r\n

DPS (DECOUPLED PLASMA SOURCE)

\r\n
    \r\n
  • A type of ICP plasma source used primarily for etch applications that separates the management of plasma density and ion energy, resulting in high etch rate and minimal plasma damage to the substrate.
  • \r\n
\r\n

\r\n

DRAIN

\r\n
    \r\n
  • The output terminal of a FET.
  • \r\n
\r\n

\r\n

DRAM

\r\n
    \r\n
  • A type of volatile computer memory where each bit is stored in a separate capacitor. Because capacitors self-discharge over time, the state of each bit must be refreshed approximately 15 times per second, hence the term "dynamic". Compare with "static" flash memory.
  • \r\n
  • DRAM offers the fastest programming of any type of memory, making it highly suitable for direct connection to a microprocessor for use as main memory.
  • \r\n
\r\n

\r\n

DUAL DAMASCENE

\r\n
    \r\n
  • Damascene process designed to form and fill two features with copper at once, e.g., a trench overlying a via may both be filled with a single copper deposition step.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_481283338_text-95567" class="cmp-text">

损害工程

  • 中使用的一类技术离子注入用于通过有意地扰乱硅晶体结构来控制半导体器件的电特性。
  • 特别是,损伤工程是用来控制深度的掺杂剂扩散通过调整离子剂量率,采用低温植入,和/或使用co-implant物种。
  • 在制造具有非常小几何形状的晶体管时,损伤工程用于实现性能增强技术,例如source-drain扩展pre-silicide应变工程

波纹的

介质防反射涂层

  • 无反射,无能量吸收,无机物介质层上沉积金属或多晶硅来改善光刻技术的性能。

暗视野检查

  • 一个缺陷检查利用探测器收集散射光使缺陷在黑暗背景下显得明亮的技术。通常用于发现晶圆上的颗粒互连制造。把......与......相比较brightfield检查。

深紫外线(duv)

  • 紫外光光谱中波长低于300nm的部分

缺陷检查

  • 缺陷位于有图案晶圆片上的一种工艺。一个缺陷位置列表被创建并传递给DR-SEM用于审查和分类。


缺陷检查扫描电子显微镜(dr-sem)

  • 一种扫描电子显微镜用于对晶圆制造过程中的缺陷类型进行分类,并确定这些缺陷是否会影响芯片产量。

沉积

  • 在衬底上沉积一层薄薄的绝缘或导电材料的过程。

设计规则

  • 概述集成电路设计和布局的几何和连通性限制的规则。

  • 在半导体制造技术中,用于制造功能电路的硅片面积。在每块晶圆上制造数百个相同的模具(可选复数为die和dice)。

介质

  • 一个绝缘子
  • 也更具体地用于指可能被外加电场极化的绝缘体。半导体加工中常用的两种介质是二氧化硅(SiO2)和氮化硅(Si3N4)。

磁盘

  • 批量处理离子注入器上的大圆锥形轮子,用于在过程中存放晶圆离子注入。晶圆被安装在磁盘的每个“辐条”的末端。当磁盘旋转时,每个晶圆依次通过离子束,离子束被径向扫描以传递均匀的离子束剂量在每个晶圆上。

掺杂剂

  • 掺入物:为了改变材料的某些固有特性,如电阻率或熔点,以一定数量添加到材料中的杂质在半导体中加入掺杂剂会产生一种以负(n型)或正(p型)电荷载流子,取决于掺杂剂种类。

掺杂剂粘贴

  • 含有。的粘性液体或悬浮液掺杂剂材料。

兴奋剂

  • 杂质的引入,还是掺杂物。进入一种材料的晶格,以改变其电学性质。为了产生n型区域,通常使用砷(As)、砷(AsH3)、膦(PH3)和锑(Sb)。对于p型区域,是典型的掺杂物是硼(B)、二氟化硼(BF2)和三氟化硼(BF3)。

剂量

  • 总金额掺杂物以离子/平方厘米为单位测量,以使植入晶片获得所需的电学性能。

剂量处理器

  • 一种精密电流测量装置,用于计算注入晶圆的离子总数。该功能有时与一致性监测相结合。

双模式

  • 在特定光刻技术的分辨率限制下,设计用于倍增晶圆上可产生的电路特征密度的一类模式化技术步进

双打印

  • 用于太阳能的技术光伏在多个精确对齐的丝网印刷操作中建立接触线或其他结构的制造。
  • 双印的应用实例包括制造更窄、更高的接触线和选择性发射极细胞类型。

去耦等离子体氮化

  • 一种利用电感耦合产生氮等离子体并将氮合并到超薄栅极氧化物的顶表面层以增加栅极电介质的介电常数的方法。

去耦等离子体源

  • 一种ICP等离子体源主要用于蚀刻应用,分离等离子体密度和离子能量的管理,导致高蚀刻速率和最小的等离子体损伤衬底。

排水

动态随机存取记忆体

  • 一种易失性计算机存储器,每一位存储在一个单独的电容器中。由于电容器随着时间的推移自我放电,每个位的状态必须每秒刷新大约15次,因此称为“动态”。与“static”比较闪存
  • DRAM提供了任何类型内存中最快的编程,使其非常适合直接连接到微处理器作为主存储器使用。

双波纹

  • 一个波纹的一次用铜形成和填充两种特征的工艺,例如:铝覆盖一个通过两者都可以用单一的铜沉积步骤填充。

回到顶部

E


\r\n

ECA (ELECTRICALLY CONDUCTIVE ADHESIVE)

\r\n
    \r\n
  • Composite material comprising a resin component enabling adhesion and conductive particles suspended into it enabling conductivity. When the resin component is cured, the conductive particles get in contact to each other enabling the electrical conductivity.
  • \r\n
\r\n

\r\n

EFFICIENCY

\r\n
    \r\n
  • In solar PV technology, the fraction of incident solar energy that is converted to electrical energy.
  • \r\n
\r\n

\r\n

ELECTRO-MIGRATION

\r\n
    \r\n
  • Movement of material caused by ion motion in a conductor that arises from the momentum transfer between conducting electrons and diffusing metal atoms.
  • \r\n
\r\n

\r\n

ELECTROCHEMICAL DEPOSITION (ECD)

\r\n
    \r\n
  • A deposition process in which metals are removed from a chemical solution and deposited on a charged surface. Also referred to as electrochemical plating, electroplating, or electrodeposition.
  • \r\n
\r\n

\r\n

ELECTRODE

\r\n
    \r\n
  • An electrical conductor used to contact a nonmetallic part of a circuit (e.g., a semiconductor).
  • \r\n
\r\n

\r\n

ELECTROLYTIC

\r\n
    \r\n
  • Performed by means of electrolysis, which is the process of separating a liquid into its different chemical parts by passing an electric current through it.
  • \r\n
\r\n

\r\n

ELECTROMAGNETIC INTERFERENCE (EMI)

\r\n
    \r\n
  • The effect resulting from multiple sources emitting electromagnetic waves at the same frequency spectrum.
  • \r\n
\r\n

\r\n

ELECTRON

\r\n
    \r\n
  • A stable subatomic particle with a negative electric charge that acts as a carrier of electricity.
  • \r\n
\r\n

\r\n

ELECTRON FLOOD

\r\n
    \r\n
  • In ion implantation, a source of electrons in the end station in the vicinity of the wafer, used to neutralize undesirable charge buildup from the positively-charged implant ions that could damage sensitive circuit features.
  • \r\n
\r\n

 

\r\n

ELECTRON VOLTS (eV)
\r\n

\r\n
    \r\n
  • The energy gained by an electron (or proton, same size of electric charge) moving through a voltage difference of one volt. In ion implantation, eV is used as a measure of the momentum of a particle. A particle with a higher momentum will penetrate further into the semiconductor lattice than one with less momentum.
  • \r\n
\r\n

\r\n

ELECTROSTATIC

\r\n
    \r\n
  • A static voltage field in which no current is flowing. In ion implantation, it refers to using voltage to bend or focus an ion beam.
  • \r\n
\r\n

\r\n

ELECTROSTATIC CHUCK (ESC)

\r\n
    \r\n
  • A metal base-plate maintained at a high voltage relative to the wafer that rests upon it, so that an electrostatic force clamps the wafer to it.
  • \r\n
\r\n

\r\n

ELECTROSTATIC MIRROR

\r\n
    \r\n
  • A negatively charged electrode that reflects electrons - a beam filter.
  • \r\n
\r\n

\r\n

EMITTER WRAP-THROUGH (EWT)

\r\n
    \r\n
  • A type of advanced back contact PV cell. In the Emitter Wrap Through cell structure, a continuous emitter is diffused through thousands of laser drilled vias less than 100 µm (micrometer) in diameter taking current to the back of the cell. By eliminating the front contacts, EWT enhances light absorption and increases cell efficiency.
  • \r\n
\r\n

\r\n

END STATION

\r\n
    \r\n
  • The area of an ion implanter where wafers are handled and processed.
  • \r\n
\r\n

\r\n

END-OF-RANGE DEFECT (EOR)

\r\n
    \r\n
  • Also, known as end-of-range dislocation loops, EOR defects are imperfections in the silicon crystal lattice found immediately below the interface between the amorphized and crystalline regions of the transistor channel after ion implantation.
  • \r\n
  • EOR defects can be minimized using cryogenic implantation.
  • \r\n
\r\n

 

\r\n

ENERGY PURITY MODULE (EPM)
\r\n

\r\n
    \r\n
  • beamline element used in certain Varian ion implanters that simultaneously decelerates the ion beam to the final energy and filters the ion beam to remove unwanted high-energy components that can “smear” the transistor channel, leading to increased leakage current and decreased performance.
  • \r\n
\r\n

\r\n

EOT (EQUIVALENT OXIDE THICKNESS)

\r\n
    \r\n
  • A number used to compare performance of gate dielectric materials by indicating how thick a silicon oxide film would need to be to produce the same effect as the dielectric material being used.
  • \r\n
  • A number used to compare performance of high-k dielectric MOS gates with performance of SiO2-based MOS gates. It shows thickness of SiO2 gate oxide needed to obtain the same gate capacitance as one with thicker SiO2 dielectric with higher dielectric constant k [e.g., EOT of 1 nm would result from using a 10 nm thick dielectric featuring k=39 (k of SiO2 is 3.9)].
  • \r\n
\r\n

\r\n

EPITAXY (EPI)

\r\n
    \r\n
  • A method of depositing, or growing, a monocrystalline film where the deposited film takes on a lattice structure and orientation identical to those of the substrate. This enables a high-purity starting point for building a semiconductor device.
  • \r\n
\r\n

\r\n

EPT (EQUIPMENT PERFORMANCE TRACKING)

\r\n
    \r\n
  • An APC technique that monitors processing tools to provides visual and statistical reporting tools to identify bottlenecks and improve factory performance.
  • \r\n
\r\n

\r\n

ETCH

\r\n
    \r\n
  • A process for removing material in a specified area through a chemical reaction or physical bombardment. The process can be performed using liquid-phase (wet) etchants or under vacuum (dry) typically using a plasma to generate gas-phase reactants.
  • \r\n
\r\n

\r\n

ETCH RATE

\r\n
    \r\n
  • The rate at which material is removed during etch processing, typically expressed in Å/s or nm/s.
  • \r\n
\r\n

\r\n

ETCH STOP LAYER (ESL)

\r\n
    \r\n
  • A film layer used to restrict etch depth and protect underlying material. The ESL is chosen to be resistant to the etch chemistry being used.
  • \r\n
\r\n

\r\n

EUVL (EXTREME ULTRAVIOLET LITHOGRAPHY)

\r\n
    \r\n
  • lithography technique using 13.5nm EUV illumination. It represents a significant departure from DUV lithography because all the optical elements must act in a reflective mode and the entire optical system must be kept under vacuum.
  • \r\n
\r\n

\r\n

EXCURSION

\r\n
    \r\n
  • A deviation from parameters specified for a process.
  • \r\n
\r\n

\r\n

EXTRACTION ELECTRODE

\r\n
    \r\n
  • In ion implantation, the extraction electrode is used for extracting positively charged ions from the source. Ions exiting the source combine downstream to form a beam which is used to implant dopants into a silicon wafer.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_982121105_text-61756" class="cmp-text">


Eca(导电胶)

  • 一种复合材料,包含一种具有粘附性的树脂组分和悬浮在其中的具有导电性的导电颗粒。当树脂组件固化时,导电颗粒相互接触,从而实现导电。

效率

  • 在太阳能光伏技术中,入射太阳能转化为电能的比例。

ELECTRO-MIGRATION

  • 由导体中离子运动引起的物质运动,起因于导电电子和扩散金属原子之间的动量转移。

电化学沉积(ecd)

  • 从化学溶液中去除金属并沉积在带电表面上的沉积过程。又称电化学电镀、电镀或电沉积。

电极

  • 一种用于接触电路非金属部分的电导体半导体).

电解

  • 电解的通过电解的方法进行的,电解是通过电流将液体分离成不同化学成分的过程。

电磁干扰(emi)

  • 多源电磁波在同一频谱发射所产生的效应。

电子

  • 带负电荷的稳定的亚原子粒子,作为电的载体。

电子洪水

  • 离子注入,是晶圆附近端站的电子源,用于中和带正电荷的植入离子产生的不希望的电荷积聚,这种电荷积聚可能损害敏感的电路特性。

电子伏(eV)

  • 一个电子(或质子,相同大小的电荷)通过一伏特的电压差所获得的能量。在离子注入, eV被用来衡量粒子的动量。动量大的粒子比动量小的粒子更能穿透半导体晶格。

静电

  • 无电流流动的静态电压场。在离子注入,它指的是利用电压弯曲或聚焦离子束。

静电卡盘(esc)

  • 金属基板相对于置于其上的晶圆片保持高电压,使静电力将晶圆片夹在其上

静电的镜子

发射极封装(ewt)

  • 一种先进的回来联系光伏电池。在发射极缠绕穿透电池结构中,连续发射极通过数千个直径小于100微米(微米)的激光钻孔扩散,将电流带到电池的后部。通过消除前端接触,EWT增强了光吸收,提高了电池效率。

端站

范围末端缺陷(eor)

  • 此外,被称为范围末端位错环,提高采收率缺陷是在硅晶格中发现的缺陷amorphized以及晶体管的晶体区域通道离子注入
  • 提高采收率缺陷可以最小化低温植入

能源纯度模块(epm)

  • 一个beamline在某些瓦里安使用的元素离子注入机这同时将离子束减速到最终能量,并过滤离子束以去除可能“涂抹”晶体管的不必要的高能成分通道,导致泄漏电流增大,性能下降。

等效氧化层厚度

  • 用于比较栅极性能的数字介质通过指示氧化硅薄膜的厚度来产生与所使用的介电材料相同的效果。
  • 用于比较高k介电MOS门性能与基于sio2的MOS门性能的数字。它表明,SiO2栅氧化物的厚度需要与具有较高介电常数k的较厚SiO2介电材料获得相同的栅电容[例如,使用k=39的10 nm厚介电材料可以获得1 nm的EOT (SiO2的k为3.9)]。

外延(EPI)

  • 一种沉积或生长单晶薄膜的方法,其中沉积的薄膜具有与衬底相同的晶格结构和方向。这为制造半导体器件提供了一个高纯度的起点。

Ept(设备性能跟踪)

  • 一个APC监控加工工具以提供可视化和统计报告工具的技术,以识别瓶颈并提高工厂性能。

腐蚀

  • 通过化学反应或物理轰击去除特定区域内物质的过程。该过程可以使用液相(湿)蚀刻剂或在真空(干)下进行,通常使用等离子体生成气相反应物。

腐蚀率

  • 过程中材料被去除的速率腐蚀加工,一般以Å/s或nm/s表示。

蚀刻停止层(esl)

  • 用于限制的薄膜层腐蚀深入并保护底层材料。ESL选择耐蚀刻化学正在使用。

极紫外光刻

  • 一个光刻技术采用13.5nm EUV照明技术。这代表着一个重大的背离DUV光刻是因为所有的光学元件都必须在反射模式下工作,而且整个光学系统必须保持在真空下。

游览

  • 与进程指定参数的偏差。

提取电极

  • 离子注入,所述提取电极用于从源中提取带正电荷的离子。离开源的离子在下游结合形成用于植入的离子束掺杂物变成硅片。

回到顶部

F

 

\r\n

FAB

\r\n
    \r\n
  • Common name for a semiconductor fabrication plant, a factory used to manufacture integrated circuits.
  • \r\n
\r\n

\r\n

FAN-OUT

\r\n
    \r\n
  • Also known as Embedded Wafer-Level Ball Grid Array. A chip packaging scheme in which the package is not created on a silicon wafer, but on an artificial wafer made of molding material (e.g., epoxy). The distance between chips is typically larger than on a silicon wafer. Interconnects are created around the chips and electrical connections are made from the chip pads to the interconnects. Any number of additional interconnects can be created on the package in an arbitrary distance (fan-out design), making this scheme ideal for space-sensitive applications in which the chip area would be insufficient to place the required number of interconnects at a suitable distance.
  • \r\n
\r\n

\r\n

FDC (FAULT DETECTION AND CLASSIFICATION)

\r\n
    \r\n
  • An APC technique that uses process state models to deduce the occurrence and location of a fault condition and diagnose the cause of the fault.
  • \r\n
\r\n

\r\n

FEOL (FRONT-END OF LINE)

\r\n
    \r\n
  • The first portion of integrated circuit fabrication including transistor fabrication. FEOL generally covers everything up to (but not including) the deposition of contacts and metal interconnect layers. The term front end is sometimes used to refer the entire process to completed wafers.
  • \r\n
\r\n

\r\n

FET (FIELD EFFECT TRANSISTOR)

\r\n
    \r\n
  • A type of transistor that relies on an electric field to control the flow of charge carriers in a semiconductor material.
  • \r\n
\r\n

\r\n

FI (FACTORY INTERFACE)

\r\n
    \r\n
  • An ultra-clean enclosure mounted to the front of a semiconductor processing system that transfers wafers to and from the cleanroom environment and the interior of the system.
  • \r\n
\r\n

\r\n

FIELD

\r\n
    \r\n
  • A term used in deposition applications to describe the top surface of a wafer as distinct from the surfaces of circuit features such as trenches and vias that are lower than the top surface.
  • \r\n
\r\n

\r\n

FINFET

\r\n
    \r\n
  • A finFET is a type of FET in which the conducting channel is surrounded on three sides by a thin silicon "fin" which forms the gate of the transistor. Although technically the term only refers to a design with two gates, the term is often used to describe any multi-gate transistor architecture, regardless of the number of gates.
  • \r\n
  • The main design goal of the finFET is to reduce current leakage while the transistor is in the "off" state.
  • \r\n
\r\n

\r\n

FLASH MEMORY

\r\n
    \r\n
  • A type of non-volatile storage technology that requires no power to retain data, unlike DRAM. The name "flash" comes from the fact that the memory is erased and programmed in large blocks, from hundreds to thousands of bits at a time. This inability to address individual bits makes it too slow for direct connection to a microprocessor, but the mechanical robustness and low cost of flash makes it ideal for mass storage in mobile devices.
  • \r\n
\r\n

\r\n

FLAT PANEL DISPLAY (FPD)

\r\n
    \r\n
  • Any consumer display device, such as an LCD or AMOLED, with a planar surface, in contrast to the curved front of cathode ray tube displays.
  • \r\n
\r\n

\r\n

FLIP CHIP

\r\n
    \r\n
  • is a method for interconnecting semiconductor devices, such as IC chips and microelectromechanical systems (MEMS), to external circuitry with solder bumps that have been deposited onto the chip pads.
  • \r\n
\r\n

\r\n

FLUX

\r\n
    \r\n
  • The flow of a physical property in space, frequently also with time variation.
  • \r\n
\r\n

 

\r\n

FORWARD VOLTAGE
\r\n

\r\n
    \r\n
  • In LED technology, the voltage across the terminals of the LED that is required in order for the produce a specified light output. It is also the voltage below which the LED will not produce any light.
  • \r\n
\r\n

 

\r\n

FRONT OPENING UNIFIED POD (FOUP)
\r\n

\r\n
    \r\n
  • A container with a stationary cassette with a front opening interface used with an automated materials handling system (AMHS). The use of FOUPs can reduce particle counts on wafers because the interior of the FOUP is isolated from the ambient fab environment.
  • \r\n
\r\n

\r\n

FSG (FLUORINE-DOPED SILICATE GLASS)

\r\n
    \r\n
  • An amorphous insulating material (k=approximately 3.5) made by doping SiO2 with fluorine often used in between copper interconnect layers. Also called fluorosilicate glass.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1080341472_text-45791" class="cmp-text">

工厂

  • 半导体制造工厂的通用名称,用于制造集成电路的工厂。

扇出

  • 也称为嵌入式晶圆级球栅阵列。一种芯片封装方案,其中封装不是在硅片上,而是在由成型材料(例如环氧树脂)制成的人造晶圆上。芯片之间的距离通常比硅片上的距离大。互连是在芯片周围创建的,从芯片衬垫到互连的电气连接是建立的。可以在任意距离的封装上创建任意数量的额外互连(扇出设计),使得该方案非常适合空间敏感的应用,其中芯片区域将不足以在合适的距离放置所需数量的互连。

故障检测与分类

  • 一个APC使用流程状态模型来推断故障条件的发生和位置并诊断故障原因的技术。

Feol(线前端)

  • 集成电路制造的第一部分,包括晶体管制造。FEOL通常涵盖了(但不包括)沉积之前的一切联系人和金属互连层。术语前端有时用来指完成晶圆的整个过程。

场效应晶体管

  • 一种晶体管,依靠电场来控制半导体材料中载流子的流动。

Fi(出厂接口)

  • 一种安装在半导体处理系统前端的超洁净外壳,用于在洁净室环境和系统内部之间传输晶圆。

  • 一种用于沉积用于描述晶圆的上表面,以区别于低于该上表面的沟槽和过孔等电路特征的表面。

FINFET

  • finFET是一种场效应晶体管在这个过程中通道三面被薄硅“鳍”包围,形成晶体管的栅极。虽然从技术上讲,该术语仅指具有两个栅极的设计,但该术语通常用于描述任何多栅极晶体管结构,而不考虑栅极的数量。
  • finFET的主要设计目标是在晶体管处于“关”状态时减少电流泄漏。

闪存

  • 一种非易失性存储技术,不需要电力来保存数据动态随机存取记忆体。“flash”这个名字来源于这样一个事实,即内存被擦除并编程成大块,一次从数百位到数千位不等。这种无法处理单个位的特性使得直接连接到微处理器的速度太慢,但是闪存的机械健壮性和低成本使其成为移动设备大容量存储的理想选择。

平板显示器(fpd)

  • 任何消费者显示设备,例如液晶显示器AMOLED,其表面为平面,与阴极射线管显示器的曲面正面形成鲜明对比。

倒装芯片

  • 是一种将半导体器件(如IC芯片和微机电系统(MEMS))与外部电路(带有沉积在芯片衬垫上的焊锡凸点)互连的方法。

通量

  • 一种物理性质在空间中的流动,通常也随时间变化。

正向电压

  • 在LED技术中,为了产生指定的光输出,LED两端的电压。在这个电压下,LED将不会产生任何光。

前开口统一吊舱(foup)

  • 与自动物料搬运系统(抗苗勒氏管激素).FOUP的使用可以减少晶圆上的颗粒计数,因为FOUP的内部与周围的晶圆厂环境隔离。

掺氟硅酸盐玻璃

  • 一种非晶态绝缘材料(k=约3.5),由SiO2掺杂氟制成,常用于铜互连层之间。又称氟硅玻璃。

回到顶部

G

 

\r\n

GATE

\r\n
    \r\n
  • A terminal of a FET that controls the flow of current between the source and drain terminals.
  • \r\n
\r\n

\r\n

GATE ALL AROUND (GAA)

\r\n\r\n

\r\n

GATE STACK

\r\n
    \r\n
  • Collective term for the conductive and insulating layers that comprise the gate structure in a MOSFET.
  • \r\n
\r\n

\r\n

GEN (GENERATION)

\r\n
    \r\n
  • A term, short for generation, used in FPD manufacturing to describe the size of the glass substrate.
  • \r\n
  • Each generation is approximately 80% larger than its predecessor.
  • \r\n
\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n
GenerationTypical dimensions (mm)Area (m²)Introduced

Gen 2

\r\n

400 x 500

\r\n

0.2

\r\n

1993

\r\n

Gen 3

\r\n

620 x 750

\r\n

0.5

\r\n

1995

\r\n

Gen 4

\r\n

730 x 920

\r\n

0.7

\r\n

2000

\r\n

Gen 5

\r\n

1,000 x 1,200
\r\n1,200 x 1,300

\r\n

1.2
\r\n1.6

\r\n

2002

\r\n

Gen 5.5

\r\n

1,300 x 1,500

\r\n

2.0

\r\n

2004

\r\n

Gen 6

\r\n

1,500 x 1,850

\r\n

2.8

\r\n

2003

\r\n

Gen 7

\r\n

1,870 x 2,200

\r\n

4.1

\r\n

2004

\r\n

Gen 7.5

\r\n

1,950 x 2,250

\r\n

4.4

\r\n

2005

\r\n

Gen 8

\r\n

2,160 x 2,460

\r\n

5.3

\r\n

2006

\r\n

Gen 8.5

\r\n

2,200 x 2,500

\r\n

5.7

\r\n

2007

\r\n

Gen 10

\r\n

2,880 x 3,130

\r\n

9.0

\r\n

2008

\r\n
\r\n

\r\n

GOWNING

\r\n
    \r\n
  • A procedure that includes hand washing and the donning of gloves, head coverings, masks, shoe coverings, and other specialized garments before workers enter a cleanroom.
  • \r\n
\r\n

\r\n

GRAY AREA

\r\n
    \r\n
  • A support area or service area immediately outside a cleanroom that allows service personnel to perform routine maintenance without entering the cleanroom itself.
  • \r\n
\r\n

\r\n

GRAYFIELD/GREYFIELD INSPECTION

\r\n
    \r\n
  • defect inspection technique that uses detectors collecting collect mid- and high-angle scattered light to make a defect appear bright against a dark background. Typically used to find small pattern defects beyond optical resolution. Compare with brightfield inspection.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_591739651_text-7136" class="cmp-text">

Gate all around (gaa)

门堆栈

创(代)

  • 代的缩写,用于火焰光度用制造来描述玻璃的大小底物
  • 每一代都比前一代大大约80%。
一代 典型尺寸(mm) 面积(m²) 介绍了

创2

400 x 500

0.2

1993

创3

620 x 750

0.5

1995

创4

730 x 920

0.7

2000

创5

1,000 x 1,200
1200 x 1300

1.2
1.6

2002

创5.5

1300 x 1500

2.0

2004

创6

1,500 x 1,850

2.8

2003

创7

1870 x 2200

4.1

2004

创7.5

1,950 x 2,250

4.4

2005

创8

2160 x 2460

5.3

2006

创8.5

2,200 x 2,500

5.7

2007

创10

2880 x 3130

9.0

2008

净化服

  • 在工人进入洁净室之前,包括洗手和戴手套、头套、口罩、鞋套和其他专用服装。

灰色区域

  • 洁净室外的支持区或服务区,允许服务人员在不进入洁净室本身的情况下进行日常维护。

GRAYFIELD / GREYFIELD检验

  • 一个缺陷检查利用探测器收集中角度和高角度散射光,使缺陷在黑暗背景下显得明亮的技术。通常用于发现光学分辨率以外的小图案缺陷。把......与......相比较brightfield检查。

回到顶部

H

 

\r\n

HARD MASK

\r\n
    \r\n
  • mask that is more resistant than photoresist to etching, used when higher etch selectivity is required than can be achieved using photoresist.
  • \r\n
\r\n

\r\n

HDP (HIGH DENSITY PLASMA)

\r\n
    \r\n
  • A plasma featuring high concentration of free electrons, and hence, high concentration of ions.
  • \r\n
\r\n

\r\n

HDP-CVD (HIGH DENSITY PLASMA CHEMICAL VAPOR DEPOSITION)

\r\n
    \r\n
  • A type of plasma-enhanced CVD performed under high vacuum and at high plasma excitation voltage in order to improve the ability to fill small high aspect ratio structures.
  • \r\n
\r\n

\r\n

HIGH ENERGY IMPLANTER

\r\n
    \r\n
  • A class of ion implanter which can produce ion energies in excess of 1MeV, enabling dopants to be implanted well below the surface of the wafer.
  • \r\n
\r\n

\r\n

HIGH-BRIGHTNESS LED (HB-LED)

\r\n
    \r\n
  • A class of LED that produces enough light to be used for illumination applications. Applications include backlighting for LCD displays, room illumination and automotive exterior lights. Exactly how bright an LED must be to qualify as "high-brightness" is not well defined. The simplest definition is one that is too bright to look at directly.
  • \r\n
\r\n

\r\n

HIGH-CURRENT IMPLANTER

\r\n
    \r\n
  • A class of ion implanter which produces the highest beam currents, typically in excess of 3mA. The greater the beam current, the faster the required dose is reached, leading to higher wafer throughput. Ion energies between 1keV and 100keV are typical.
  • \r\n
\r\n

\r\n

HMI (HUMAN MACHINE INTERFACE)

\r\n
    \r\n
  • User interface or dashboard that connects a person to a machine, system or device, most commonly used in the context of an industrial process to visually display data, track production time, trends and tags, monitor machine inputs and outputs and loads more.
  • \r\n
\r\n

\r\n

HOLE

\r\n
    \r\n
  • In semiconductors, a hole is the absence of an electron where one could exist in an crystal lattice. It can be thought of as the opposite of an electron, with a positive charge of exactly the same magnitude as an electron. If, in an electric field, an electron moves into this vacant site, the hole has effectively moved in the opposite direction.
  • \r\n
\r\n

\r\n

HOST

\r\n
    \r\n
  • The intelligent factory system that communicates with the equipment used in semiconductor manufacture. In semiconductors, the SECS/GEM protocol is used.
  • \r\n
\r\n

\r\n

HOTSPOT

\r\n
    \r\n
  • an area known to be prone to failure
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_85608132_text-23553" class="cmp-text">

硬掩模

  • 一个面具它比光刻胶更耐蚀刻,用于更高的蚀刻选择性比使用光刻胶所能达到的要求要高。

高密度等离子体

  • 等离子体具有高浓度的自由电子,因此也有高浓度的离子的等离子体

高密度等离子体化学气相沉积

  • 一种等离子体增强化学汽相淀积在高真空和高等离子体激发电压下进行,以提高填充小的高纵横比结构的能力。

高能植入器

高亮度led (hb-led)

  • 一类领导产生足够的光用于照明应用。应用包括液晶显示器的背光,房间照明和汽车外部灯。LED到底要有多亮才算“高亮度”还没有很好的定义。最简单的定义是过于明亮而无法直接观察。

大电流离子注入机

HMI(人机界面)

  • 将人与机器、系统或设备连接起来的用户界面或仪表板,最常用于工业过程中可视化地显示数据、跟踪生产时间、趋势和标签、监控机器输入和输出以及负载。

  • 在半导体中,空穴是电子的缺失,而电子可以存在于晶格中。它可以被认为是电子的反面,具有与电子大小完全相同的正电荷。如果在电场中,一个电子进入这个空位,那么空穴实际上是向相反的方向移动。

宿主

  • 与半导体制造中使用的设备通信的智能工厂系统。在半导体领域,秒/宝石使用协议。

热点

  • 一个已知易发生故障的领域

回到顶部

 

\r\n

ICP (INDUCTIVELY COUPLED PLASMA)

\r\n
    \r\n
  • A type of plasma source in which the energy is supplied by electrical currents which are produced by electromagnetic induction, that is, by time-varying magnetic fields generally applied from outside the vacuum enclosure.
  • \r\n
\r\n

\r\n

IGZO (INDIUM GALLIUM ZINC OXIDE)

\r\n
    \r\n
  • A semiconducting material used to form the channel of high-performance thin-film transistors for the active layer of LCDs. Compared to amorphous silicon, the conventional channel material, the higher electron mobility of IGZO allows the transistors to switch more rapidly, enabling higher-resolution displays with a faster refresh rate.
  • \r\n
\r\n

\r\n

IMMERSION LITHOGRAPHY

\r\n
    \r\n
  • lithography resolution enhancement technique that replaces the usual air gap between the final lens and the wafer surface with a liquid medium such as water.
  • \r\n
\r\n

\r\n

IMPLANT

\r\n\r\n

\r\n

IMPLANT ANGLE

\r\n
    \r\n
  • In ion implantation, the incident angle between the ion beam and the wafer surface.
  • \r\n
\r\n

\r\n

INSPECTION

\r\n

The examination of a wafer to detect defects of various types (e.g., scratches, particles, damaged features) following each step in the semiconductor fabrication process flow.

\r\n

\r\n

INSULATOR

\r\n
    \r\n
  • Nonconductive materials used to isolate electrically active areas of the device or chip from one another. Some commonly used insulators are silicon dioxide, silicon nitride, BPSG, and PSG.
  • \r\n
\r\n

\r\n

INTEGRATED CIRCUIT (IC)

\r\n
    \r\n
  • An electronic device that consists of many elements fabricated together on a single silicon substrate.
  • \r\n
\r\n

\r\n

INTERCONNECT

\r\n
    \r\n
  • The wiring in an integrated circuit that connects the transistors to one another and to external connections.
  • \r\n
\r\n

 

\r\n

INTERLAYER DIELECTRIC (ILD)
\r\n

\r\n
    \r\n
  • Films used between metal layers of an IC for insulation.
  • \r\n
\r\n

\r\n

INTERMETAL DIELECTRIC (IMD)

\r\n
    \r\n
  • Insulating films used between adjacent metal lines.
  • \r\n
\r\n

\r\n

INTERPOSER

\r\n
    \r\n
  • a layer of silicon or some other suitable material that acts as an electrical interface routing between one socket or connection to another to spread a connection to a wider pitch or to reroute a connection to a different connection.
  • \r\n
\r\n

\r\n

INVERTER

\r\n
    \r\n
  • A device to convert DC power from solar panels, for example, to AC power compatible with grid electricity.
  • \r\n
\r\n

\r\n

ION

\r\n
    \r\n
  • an electrically charged atom or group of atoms formed by the loss or gain of one or more electrons
  • \r\n
\r\n

\r\n

ION IMPLANTATION

\r\n
    \r\n
  • A process technology in which ions of dopant chemicals (boron, arsenic, etc.) are accelerated in intense electrical fields to penetrate the surface of a wafer, thus changing the electrical characteristics of the material.
  • \r\n
\r\n

\r\n

ION IMPLANTER

\r\n
    \r\n
  • A tool designed to inject selected dopant atoms uniformly across a substrate to a prescribed depth at a desired concentration. The technique is referred to as ion implantation.
  • \r\n
\r\n

\r\n

ION SOURCE

\r\n\r\n

\r\n

IONIZATION

\r\n
    \r\n
  • The process of adding to or removing one of more electrons from an electrically neutral atom or molecule. Once a particle is ionized, it can be accelerated, steered and otherwise manipulated using magnetic or electrostatic fields, as in a beamline.
  • \r\n
\r\n

\r\n

ITO (INDIUM TIN OXIDE)

\r\n
    \r\n
  • A common TCO material.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1597409955_text-99697" class="cmp-text">

电感耦合等离子体

  • 一种等离子体源,其能量由电磁感应产生的电流提供,即通常由真空外壳外施加的时变磁场产生。

Igzo(氧化铟镓锌)

  • 一种半导体材料,用于形成通道高性能薄膜晶体管为活动层液晶显示器s.与非晶硅与传统的通道材料相比,IGZO更高的电子迁移率允许晶体管更快地切换,从而实现更高分辨率的显示器和更快的刷新率。

浸没式光刻技术

  • 一个光刻技术分辨率增强技术,用液体介质(如水)取代最终透镜和晶圆表面之间通常的空气间隙。

植入物

植入角度

检查

在半导体制造工艺流程的每一步之后,对晶圆片进行检查,以检测各种类型的缺陷(如划痕、颗粒、损坏的特征)。

绝缘子

  • 用于隔离器件或芯片的电活性区域的非导电材料。常用的绝缘体有二氧化硅、氮化硅、BPSG,巴黎圣日尔曼

集成电路(ic)

  • 一种电子器件,由许多元件组装在一块硅衬底上。

互连

  • 集成电路中连接晶体管彼此和外部连接的线路。

层间介质(ild)

  • 集成电路金属层之间用于绝缘的薄膜。

金属间介质(imd)

  • 用于相邻金属线之间的绝缘薄膜。

插入器

  • 一层硅或其他合适的材料,作为一个插座或连接到另一个插座之间的电接口路由,将一个连接扩展到更宽的间距或将一个连接重新路由到另一个连接

逆变器

  • 一种将太阳能电池板的直流电源转换为与电网电力兼容的交流电源的设备。

离子

  • 电子:由失去或得到一个或多个电子而形成的带电原子或原子群

离子注入

  • 一种工艺技术,其中的离子掺杂剂化学物质(硼、砷等)在强电场中加速穿透晶圆表面,从而改变材料的电特性。

离子注入机

  • 一种工具,设计用于将选定的掺杂原子以所需的浓度均匀地注入衬底到规定的深度。这种技术被称为离子注入

离子源

电离

  • 吸附:从中性原子或分子中增加或除去一个或多个电子的过程粒子一旦被电离,就可以用磁场或静电场加速、操纵或操纵它beamline

ITO(氧化铟锡)

  • 一个共同的TCO材料。

回到顶部

J

 

\r\n

JUNCTION

\r\n
    \r\n
  • The interface between two semiconductor regions of differing dopant types. Usually refers to a p-n junction, at which the conductivity type changes from p-type to n-type.
  • \r\n
\r\n

 

\r\n

JUNCTION BOX
\r\n

\r\n
    \r\n
  • In solar modules, an environmental enclosure designed to provide a connection point for the output of the module.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1037452659_text-53013" class="cmp-text">

  • 两个不同的半导体区域之间的界面掺杂剂类型。通常指的是p-n结,在这个结处,电导率类型从p型n型

接线盒

  • 在太阳能组件中,为组件输出提供连接点的一种环境外壳。

回到顶部

K

 

\r\n

k-VALUE

\r\n
    \r\n
  • Also known as dielectric constant, often denoted by the Greek letter kappa (κ). An expression of the extent to which a material concentrates electric flux.
  • \r\n
  • In electronics, it refers to the capacitance of a material relative to silicon dioxide.
  • \r\n
  • A high k-value allows a transistor gate to be made smaller without increasing undesirable leakage.
  • \r\n
  • A low k-value is desirable in an insulating material such as one used to separate interconnects because it reduces charge build-up which wastes energy as heat, reducing the overall power consumption of a device. In addition, a low k-value allows faster signal propagation and thus faster switching speeds.
  • \r\n
\r\n

\r\n

KERF LOSS

\r\n
    \r\n
  • The amount of material loss during a cutting process. In silicon wafer production, kerf loss refers to the amount of silicon consumed as part of the wafering process and plays a vital role in determining the cost, edge quality, and surface finish of a wafer.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_931450226_text-89005" class="cmp-text">

增殖系数粘度值

  • 又称介电常数,通常用希腊字母kappa (κ)表示。一种材料集中电通量程度的表达式。
  • 在电子学中,它指的是材料相对于二氧化硅的电容。
  • 高k值允许晶体管使体积变小而不增加不需要的泄漏。
  • 低k值在绝缘材料中是可取的,例如用于隔离的绝缘材料互联因为它减少了以热量形式浪费能量的电荷积聚,降低了设备的整体功耗。此外,低k值允许更快的信号传播,从而更快的开关速度。

切口损失

  • 损耗:在切割过程中材料的损耗量在硅片生产中,切缝损耗是指在晶圆制造过程中所消耗的硅量,它在决定晶圆的成本、边缘质量和表面光洁度方面起着至关重要的作用。

回到顶部

l

 

\r\n

LABILE

\r\n
    \r\n
  • In chemistry, a term applied to something constantly undergoing or likely to undergo change. For example, if a molecule exists in a particular conformation for a short life-time, before adopting a lower energy conformation, the former molecular structure is said to have 'high lability.' In semiconductors, it can refer to an ALD precursor chemical that reacts readily with the materials on the surface of the wafer.
  • \r\n
\r\n

\r\n

LASER SCRIBE

\r\n
    \r\n
  • A technique that uses lasers to ablate the surface of a thin film PV cell in order to define interconnect patterns.
  • \r\n
\r\n

\r\n

LATTICE

\r\n
    \r\n
  • The orderly arrangement of atoms in a crystalline solid.
  • \r\n
\r\n

\r\n

LED (LIGHT-EMITTING DIODE)

\r\n
    \r\n
  • A semiconductor device that emits light when an electric current flows through it. An LED consists of a p-n junction which is constructed in such a way that a photon of light is emitted when a pair of charge carriers recombine.
  • \r\n
\r\n

\r\n

LINEARITY

\r\n
    \r\n
  • A parameter chiefly used in photomask etch that measures the precision of the etch process. Linearity is defined as the range of deviation from target CD across a specified range of features sizes.
  • \r\n
\r\n

\r\n

LINEWIDTH

\r\n\r\n

 

\r\n

LIQUID CRYSTAL DISPLAY (LCD)
\r\n

\r\n
    \r\n
  • A type of flat panel display that uses an array of backlit thin film transistors called a backplane to control each pixel.
  • \r\n
  • An LCD works by individually controlling each transistor to allow or block the light from a backlight. The white light then passes through an array of color filters to assemble the final, full-color image.
  • \r\n
  • When a pixel transistor is turned off, the liquid crystal material rotates polarized light through 90°, allowing it to pass through the second polarizer.
  • \r\n
  • When the transistor is energized, the liquid crystal molecules align in such a way that the light is no longer rotated, so the light is blocked by the second polarizer.
  • \r\n
\r\n

\r\n

LITHO ENABLING

\r\n
    \r\n
  • Any technique that enhance the resolution, fidelity or other aspect of the lithography process.
  • \r\n
\r\n

\r\n

LITHOGRAPHY

\r\n
    \r\n
  • The transfer of a pattern or image from one medium to another, such as from a photomask to a wafer using a stepper.
  • \r\n
\r\n

\r\n

LOAD LOCKS

\r\n
    \r\n
  • A chamber used to transfer a wafer or wafers between the atmospheric pressure of the FI and the vacuum environment used for processing.
  • \r\n
\r\n


\r\nLOGIC

\r\n
    \r\n
  • Semiconductor device that implements a basic logical operation performed on one or more digital input signals to produce a digital output signal; also, analog switches that connect or disconnect the conducting path of an analog signal.
  • \r\n
\r\n

\r\n

LOT

\r\n
    \r\n
  • A batch of wafers of identical characteristics that are processed at the same time. Lots are typically kept together in FOUPs.
  • \r\n
\r\n

\r\n

LOW PRESSURE CVD (LPCVD)

\r\n
    \r\n
  • CVD process performed in an environment below atmospheric pressure.
  • \r\n
\r\n

 

\r\n

LSI (LARGE SCALE INTEGRATION)
\r\n

\r\n
    \r\n
  • A chip with between 3,000 and 100,000 transistors on a single die. The first LSI chips were produced in the mid- 1970s.
  • \r\n
\r\n

\r\n

LTPS (LOW TEMPERATURE POLYSILICON)

\r\n
    \r\n
  • A process used to create polysilicon films using a two step process. The first step deposits a precursor film at 400-450° using a PECVD process, lower than the 600-1000° LPCVD process typically used in semiconductor manufacturing. The second step uses an anneal process to convert the precursor into polysilicon.
  • \r\n
  • LTPS films are commonly used in AMOLED and ultra-high resolution TFT-LCD displays.
  • \r\n
\r\n

 

\r\n

LUMINOUS EFFICACY
\r\n

\r\n
    \r\n
  • In LED technology, a measure of how efficiently an LED converts energy to electromagnetic radiation. Usually expressed in lumens per watt (lm/W).
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1802649131_text-87987" class="cmp-text">

不稳定

  • 在化学中,用于指不断发生变化或可能发生变化的事物的术语。例如,如果一个分子以一种特定的构象存在了很短的寿命,在采用低能量构象之前,前一种分子结构被称为具有“高不稳定性”。在半导体中,它可以指an“肾上腺脑白质退化症”易与晶圆表面材料发生反应的前体化学物质。

激光抄写员

  • 一种利用激光烧蚀薄膜PV电池表面以确定互连模式的技术。

晶格

  • 原子排列:晶体固体中原子的有序排列

Led(发光二极管)

  • 发光器件:当电流流过时发光的半导体器件LED由p-n组成它的结构是这样的,当一对载流子重新结合时,光子就会被发射出来。

线性

  • 主要用于。的参数光掩模刻蚀用来测量蚀刻过程的精度。线性定义为偏离目标的范围CD在指定的功能大小范围内。

线宽

液晶显示器(lcd)

  • 一种使用背光阵列的平板显示器薄膜晶体管被称为底板来控制每个像素。
  • 液晶显示器通过单独控制每个晶体管来允许或阻止背光的光线。然后,白光通过一组彩色滤光片组合成最终的全彩图像。
  • 当一个像素晶体管关闭时,液晶材料将偏振光旋转90°,使其通过第二个偏振光器。
  • 当晶体管通电时,液晶分子以这样一种方式排列,使光不再旋转,因此光被第二个偏光器阻挡。

平使

  • 任何增强图像的分辨率、保真度或其他方面的技术光刻技术的过程。

光刻技术

  • 将图案或图像从一种媒介转移到另一种媒介,如从光掩模到晶圆上使用步进

负载锁

  • 一种用于在两个或多个晶圆的大气压之间传送晶圆的腔室FI并采用真空环境进行加工。


逻辑

  • 实现对一个或多个数字输入信号执行基本逻辑运算以产生数字输出信号的半导体器件;此外,连接或断开模拟信号传导路径的模拟开关。

很多

  • 同时加工的一批具有相同特性的晶圆。很多东西通常保存在一起foup

低压CVD (lpcvd)

大规模集成电路

  • 一个芯片上有3000到10万个晶体管。第一块大规模集成电路芯片是在20世纪70年代中期生产的。

低温多晶硅

  • 用于创建的进程多晶硅胶片采用两步工艺。第一步使用a在400-450°沉积前驱体膜PECVD工艺上,低于600-1000°LPCVD通常用于半导体制造的工艺。第二步使用an退火将前体转化为多晶硅的工艺。
  • LTPS薄膜通常用于AMOLED和超高分辨率液晶显示器显示器。

光视效能

  • 在LED技术中,一种衡量LED将能量转换为电磁辐射效率的指标。通常以流明每瓦(lm/W)表示。

回到顶部

 

\r\n

MAGNETORESISTANCE (TMR)

\r\n
    \r\n
  • The property of a material (typically ferromagnetic) to change the value its electrical resistance in an externally applied magnetic field. This effect is used as a data storage mechanism in magnetic random-access memory structures.
  • \r\n
\r\n

\r\n

MASK

\r\n
    \r\n
  • A patterned layer of material used to prevent the etching of the material directly beneath it. Also an abbreviation of PHOTOMASK.
  • \r\n
\r\n

\r\n

MASS ANALYZER MAGNET

\r\n
    \r\n
  • The mass analyzing magnet in Varian implanters is positioned between the source and the process chamber to deflect and filter ions so only selected ions enter the process chamber. This ensures that only the required dopants reach the wafer.
  • \r\n
\r\n

\r\n

MATERIAL CONTROL SYSTEM (MCS)

\r\n
    \r\n
  • A computer controlled system which manages the transporting and storing of work in progress material in a manufacturing environment.
  • \r\n
\r\n

\r\n

MEDIUM CURRENT IMPLANTER

\r\n
    \r\n
  • A class of ion implanter designed for maximum dose uniformity. Beam currents range from 1µA to 5mA, at energies from 5-600keV. Medium current implanters usually have the ability to implant dopants at implant angles down to 30° from the wafer surface, enabling dopants to be implanted partially underneath existing structures on the wafer surface.
  • \r\n
\r\n


\r\nMEMORY
\r\n

\r\n
    \r\n
  • Semiconductor device used for digital data storage, such as computer memory.
  • \r\n
\r\n

\r\n

MEMS (MICROELECTROMECHANICAL SYSTEMS)

\r\n
    \r\n
  • Very small mechanical or electromechanical devices such as sensors and actuators fabricated using modified semiconductor device fabrication techniques.
  • \r\n
\r\n

\r\n

MES (MANUFACTURING EXECUTION SYSTEM)

\r\n
    \r\n
  • A software control system for managing and monitoring work-in-process material in a manufacturing environment.
  • \r\n
\r\n

\r\n

METAL OXIDE

\r\n\r\n

\r\n

METAL WRAP-THROUGH

\r\n\r\n

\r\n

METALLIZATION

\r\n
    \r\n
  • The CVD or PVD deposition of a layer of high-conductivity metal used to interconnect devices on a chip. Metals typically used include aluminum, tungsten and copper, etc.
  • \r\n
\r\n

\r\n

METROLOGY

\r\n
    \r\n
  • The science of measurement to ascertain dimensions, quantity, or capacity; the techniques and procedures for using sensors and measurement equipment to determine physical and electrical properties in wafer processing.
  • \r\n
\r\n

 

\r\n

MICRO-CRYSTALLINE SILICON (µc-Si)
\r\n

\r\n
    \r\n
  • A form of thin film silicon with very small (0.5-2µm) silicon crystals intermixed with amorphous silicon. It is usually deposited in a thin layer (typically 1-3µm) for tandem (stacked) thin film solar cells.
  • \r\n
\r\n

\r\n

MICROLOADING

\r\n
    \r\n
  • The phenomenon by which identical features are etched at different rates depending on their density (e.g. dense, semi-dense) with respect to open area features.
  • \r\n
\r\n

\r\n

MICRON

\r\n
    \r\n
  • (µm or micrometer) A unit of length; one-millionth of a meter.
  • \r\n
\r\n

\r\n

MICROPROCESSOR

\r\n
    \r\n
  • An integrated circuit that contains arithmetic, logic and control circuitry in a single package.
  • \r\n
\r\n

\r\n

MINI-ENVIRONMENT

\r\n
    \r\n
  • An enclosure or the environment created by an enclosure to keep wafers free of contamination such as a FOUP.
  • \r\n
\r\n

\r\n

MOCVD (METAL-ORGANIC CHEMICAL VAPOR DEPOSITION)

\r\n
    \r\n
  • MOCVD is a type of epitaxy process used to deposit compound semiconductor films, especially those used in the manufacture of high-brightness LEDs and power electronics. In an MOCVD process, a chemical reaction takes place at the surface of a substrate between organic compounds that contain the required metals and other elements.
  • \r\n
\r\n

\r\n

MODULE

\r\n
    \r\n
  • The solar module is the final packaged PV generator. In c-Si technologies, the module typically contains several dozen solar cells wired together.
  • \r\n
\r\n

\r\n

MOL (Middle of Line)

\r\n
    \r\n
  • The MOL connects the transistor and interconnect parts of a chip using a series of contact structures.
  • \r\n
\r\n

\r\n

MONOCRYSTALLINE SILICON

\r\n\r\n

\r\n

MORE THAN MOORE (MtM)

\r\n
    \r\n
  • Diverse device technologies, such as RF devices, power management subsystems, sensors, actuators, and MEMS, that integrate analog functions into CMOS-based technologies.
  • \r\n
\r\n

\r\n

MOS (METAL OXIDE SEMICONDUCTOR)

\r\n
    \r\n
  • a structure obtained by growing a layer of silicon dioxide (SiO2) on top of a silicon substrate and then depositing a layer of metal or polycrystalline silicon (the latter is commonly used). Often used to describe a transistor fabricated in this way.
  • \r\n
\r\n

\r\n

MOSFET

\r\n
    \r\n
  • A type of FET where the gate is isolated by a shallow layer of insulator. Constructed using MOS fabrication techniques.
  • \r\n
\r\n

\r\n

MULTICRYSTALLINE SILICON

\r\n
    \r\n
  • In solar PV, a type of silicon wafer that is cast into ingots using grains of monocrystalline silicon. The ingots are then sliced into wafers and used in the manufacturing of microchips and photovoltaic cells.
  • \r\n
\r\n

\r\n

MURA EFFECT

\r\n
    \r\n
  • A type of defect found in flat panel displays where areas of the display exhibit uneven brightness. Also known as “clouding”.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1649819770_text-61653" class="cmp-text">

磁阻(咯)

  • 一种材料(通常为铁磁性)在外加磁场下改变其电阻值的特性。这种效应被用作磁性随机存取存储器结构中的数据存储机制。

面具

  • 一种有图案的材料层,用于防止其正下方的材料被蚀刻。也是一个缩写光掩模

质谱仪磁体

  • 瓦里安植入体的质量分析磁体定位于工艺室偏转和过滤离子,因此只有选定的离子进入工艺室。这样可以确保只需要掺杂物拿到晶圆片。

物料控制系统(mcs)

  • 在生产环境中管理在制品的运输和储存的计算机控制系统。

中电流植入器

  • 一类离子注入机最大限度设计剂量一致性。束流电流范围为1 μ A至5mA,能量为5-600keV。中电流植入器通常具有植入能力掺杂物植入角度从晶圆表面向下至30°,使掺杂剂能够部分植入到晶圆表面现有结构的下方。


内存

  • 用于数字数据存储的半导体器件,如计算机存储器。

微机电系统

  • 非常小的机械或机电设备,如传感器和执行器,使用改进的半导体器件制造技术制造。

Mes(制造执行系统)

  • 一种在制造环境中管理和监控在制品材料的软件控制系统。

金属氧化物

金属WRAP-THROUGH

金属化

计量

  • 测量学:确定尺寸、数量或容量的测量科学;在晶圆加工中使用传感器和测量设备来确定物理和电学性质的技术和程序。

微晶硅(µc-Si)

  • 硅薄膜的一种形式,非常小(0.5-2微米)的硅晶体与非晶硅混合在一起。它通常沉积在薄层(通常为1-3微米)用于串联(堆叠)薄膜太阳能电池。

MICROLOADING

  • 相同的特征根据其密度(如密集,半密集)相对于开放区域特征以不同速率蚀刻的现象。

微米

  • (微米或微米)长度单位;百万分之一米。

微处理器

  • 一种在单个封装中包含算术、逻辑和控制电路的集成电路。

迷你环境

  • 一种外壳或由外壳所创造的环境,以保持晶圆片不受污染FOUP

Mocvd(金属有机化学气相沉积)

  • MOCVD是一种外延用于沉积化合物半导体薄膜的工艺,尤指用于制造高亮度发光二极管还有电力电子。在MOCVD工艺中,在基材表面,含有所需金属和其他元素的有机化合物之间发生化学反应。

模块

  • 太阳能组件是最终封装的光伏发电机。在c-Si技术中,模块通常包含几十个太阳能电池连接在一起。

MOL(行间)

  • MOL通过一系列接触结构连接晶体管和芯片各部分。

单晶硅

超过摩尔(MtM)

金属氧化物半导体

  • 通过在硅衬底上生长一层二氧化硅(SiO2),然后沉积一层金属或多晶硅(常用的是后者)而获得的结构。通常用来描述用这种方法制造的晶体管。

场效应晶体管

MULTICRYSTALLINE硅

  • 在太阳能光伏技术中,用单晶硅颗粒铸造成铸锭的一种硅晶片。然后,这些金属锭被切成晶圆,用于制造微芯片和光伏电池。

不均匀的影响

  • 中发现的一种缺陷平板显示器显示区域的亮度不均匀。也被称为“云化”。

回到顶部

N

 

\r\n

N-TYPE

\r\n
    \r\n
  • Semiconductor material that has negatively charged conductivity (an excess of electrons).
  • \r\n
\r\n

\r\n

NAND

\r\n
    \r\n
  • A logic operator used in Flash memory that produces an output signal only if at least one of its inputs has no signal, thus being “Not AND” (the inverse of an AND operator).
  • \r\n
\r\n

\r\n

NANOMANUFACTURING TECHNOLOGY

\r\n
    \r\n
  • Solutions for the semiconductor, solar and display industries that are focused on dimensions smaller than 100nm.
  • \r\n
\r\n

\r\n

NANOMETER (nm)

\r\n
    \r\n
  • A unit of length; one billionth of a meter.
  • \r\n
\r\n

\r\n

NEUTRAL CUP

\r\n
    \r\n
  • A device used by some Varian implanters that measures the ion beam current during setup and acts as the trap for neutrals generated in the beamline.
  • \r\n
\r\n

\r\n

NEUTRALS

\r\n
    \r\n
  • Particles traveling within an ion beam which have the same energy but no longer have a charge. Neutrals cannot be manipulated by external fields and will continue at a fixed velocity until colliding with the vacuum chamber walls or other particles.
  • \r\n
\r\n

\r\n

NITRIDATION

\r\n
    \r\n
  • Conversion into a nitride
  • \r\n
\r\n

\r\n

NMOS

\r\n
    \r\n
  • MOS transistor where the active carriers are electrons flowing between n-type source and drain regions in an electrostatically formed n-channel in a p-type silicon substrate.
  • \r\n
\r\n

\r\n

NOR

\r\n
    \r\n
  • A logic operator used in Flash memory that produces an output result that is the inverse of OR.
  • \r\n
\r\n

\r\n

NUCLEATION LAYER

\r\n
    \r\n
  • A thin layer of film that promotes the growth of a subsequently deposited film.
  • \r\n
\r\n

\r\n

NUISANCE DEFECT (NOISE)

\r\n
    \r\n
  • A suspected defect reported by a defect inspection system that can be ignored because it will have no effect on the functionality of the completed device. Suppressing nuisance, or "false alarm" defects is a critical capability of advanced defect inspection systems.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1806056188_text-39391" class="cmp-text">

n型

  • 具有负电荷导电性(过量电子)的半导体材料。

与非

  • 的逻辑运算符闪存它只在至少一个输入没有信号时才产生输出信号,因此是“非与”(与运算符的逆)。

NANOMANUFACTURING技术

  • 半导体、太阳能和显示器行业的解决方案,专注于小于100nm的尺寸。

纳米(纳米)

  • 单位:长度单位;十亿分之一米

中性的杯

  • 一些瓦里安植入器使用的一种装置,用于在设置过程中测量离子束电流,并作为陷阱来捕获在等离子体中产生的中性beamline

中性色

  • 在离子束中运动的粒子具有相同的能量但不再带电荷。中性体不能被外部场操纵,将以固定的速度继续运动,直到与真空室壁或其他粒子碰撞为止。

氮化

  • 转化成氮化物

NMOS

也不

  • 的逻辑运算符闪存它产生的输出结果是OR的逆。

成核层

  • 薄膜:促进随后沉积的薄膜生长的一层薄膜

妨害缺陷(噪音)

  • A报告的疑似缺陷缺陷检查可以忽略的系统,因为它对完成的设备的功能没有任何影响。抑制麻烦,或“错误警报”缺陷是先进缺陷检查系统的关键能力。

回到顶部

O

 

\r\n

ONO

\r\n
    \r\n
  • Oxide-nitride-oxynitride; multilayer metal-oxide semiconductor gate dielectric.
  • \r\n
\r\n

\r\n

OPTICAL PROXIMITY CORRECTION (OPC)

\r\n
    \r\n
  • lithography enhancement technique that modifies photomask circuit features to compensate for the non-ideal properties of the lithography process.
  • \r\n
\r\n

\r\n

ORGANIC LIGHT EMITTING DIODE (OLED)

\r\n
    \r\n
  • A light-emitting device where photons are emitted as a result of electron-hole interactions in a thin film organic semiconductor.
  • \r\n
\r\n

\r\n

ORIENT ANGLE

\r\n
    \r\n
  • In ion implantation, the azimuthal angle of the wafer's crystal lattice structure in relation to the beam. At different orient angles, different dopant penetration depths and channeling will be obtained.
  • \r\n
\r\n

\r\n

ORIENTER

\r\n\r\n

\r\n

OVERSCAN

\r\n
    \r\n
  • In ion implantation, the practice of sweeping the ion beam past the edge of the wafer in order to achieve a uniform dose at the wafer periphery.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1258992898_text-73594" class="cmp-text">

小野

  • Oxide-nitride-oxynitride;多层金属氧化物半导体栅极电介质。

光学接近校正(opc)

有机发光二极管(oled)

  • 一种有机半导体薄膜中由于电子-空穴相互作用而发射光子的发光装置。

东方角

  • 离子注入,晶圆晶格结构相对于光束的方位角。在不同的取向角度,不同的掺杂深度和通灵将会得到。

定方位器

过扫描

  • 离子注入,即将离子束扫过晶圆边缘,以达到均匀剂量在晶圆外围。

回到顶部

P

 

\r\n

P-TYPE

\r\n
    \r\n
  • Semiconductor material that has positively charged conductivity (a deficiency of electrons).
  • \r\n
\r\n

\r\n

PANEL-LEVEL PACKAGING (PLP)

\r\n
    \r\n
  • An emerging, high-density packaging technique that places die on a panel rather than a wafer, which accommodates fewer die.
  • \r\n
\r\n

\r\n

PARASITIC CAPACITANCE

\r\n
    \r\n
  • The inherent capacitance of all circuit elements such as interconnects and transistors which causes their behavior to deviate from “ideal” circuit elements.
  • \r\n
  • In semiconductors, refers particularly to the undesirable capacitance between closely-spaced conductors that can lead to effects such as crosstalk.
  • \r\n
  • Parasitic capacitance can be reduced by lowering the dielectric constant, or k-value of the insulator that separates adjacent circuit elements.
  • \r\n
\r\n

\r\n

PARETO

\r\n
    \r\n
  • A vertical bar graph in which values are plotted in decreasing order of relative frequency from left to right. Useful for analyzing what problems need attention first.
  • \r\n
\r\n

\r\n

PASSIVATION

\r\n
    \r\n
  • A layer in a semiconductor device that forms a hermetic seal over the circuit elements, either as the final step in manufacturing or to protect chemically-active materials from reaction as wafers are transferred between processing tools. Plasma nitride and silicon dioxide are the materials primarily used for passivation.
  • \r\n
\r\n

\r\n

PATTERNING

\r\n
    \r\n
  • In semiconductor manufacturing, the creation of desired circuit geometry on a wafer. Generally used to refer to the combination of lithography and related processes such as patterning film deposition and etch.
  • \r\n
\r\n

\r\n

PECVD (PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION)

\r\n
    \r\n
  • CVD process using plasma energy to drive the deposition. This technique allows lower deposition temperatures, increases film density and purity.
  • \r\n
\r\n

\r\n

PELLICLE

\r\n
    \r\n
  • A sheet of clear polymer that rides on a frame to protect the patterned region of a photomask from airborne contamination. During exposure, any contamination is held out of the focal plane and thus does not "print" on the wafer.
  • \r\n
\r\n

\r\n

PERC (PASSIVATED EMITTER REAR CONTACT)

\r\n
    \r\n
  • Modified conventional cells that can achieve higher energy conversion efficiency through the addition of a dielectric passivation layer on the rear of the cell. The dielectric passivation layer contributes to the increase of efficiency by reducing the electron recombination, increasing the solar cell’s ability to capture light and reflecting specific wavelengths that normally generate heat out of the solar cells.
  • \r\n
\r\n

 

\r\n

PHASE SHIFT MASK (PSM)
\r\n

\r\n
    \r\n
  • photomask that take advantage of the interference generated by phase differences to improve image resolution in photolithography.
  • \r\n
\r\n

 

\r\n

PHOSPHORUS-DOPED SILICATE GLASS (PSG)
\r\n

\r\n
    \r\n
  • An amorphous insulating material made by doping SiO2 with phosphorus to improve moisture resistance and reflow characteristics. Also called phosphosilicate glass.
  • \r\n
\r\n

\r\n

PHOTOLITHOGRAPHY

\r\n\r\n

\r\n

PHOTOMASK

\r\n
    \r\n
  • A fused silica (quartz) plate, typically 152mm square, covered with a pattern of opaque, transparent and phase- shifting areas which will be projected onto wafers in the lithography process to define the layout of one layer of an integrated circuit.
  • \r\n
\r\n

\r\n

PHOTORESIST

\r\n
    \r\n
  • A light-sensitive organic polymer that is exposed by the lithography process, then developed to produce a pattern which identifies the areas of the underlying film to be etched.
  • \r\n
\r\n

\r\n

PITCH

\r\n
    \r\n
  • The distance between the centers of adjacent features, for example interconnect lines or contact holes.
  • \r\n
\r\n

\r\n

PITCH-HALVING

\r\n
    \r\n
  • Any patterning technique that creates paired, features from a single lithography image with a pitch half that of the original image, thus creating smaller patterns than the lithography process alone is capable of. Sometimes misleadingly referred to as pitch-doubling.
  • \r\n
\r\n

\r\n

PIXEL DENSITY

\r\n
    \r\n
  • In FPD technology, a measurement of resolution that describes the distance between individual pixels on the display. Usually expressed in pixels per inch, or ppi.
  • \r\n
\r\n

\r\n

PLANARIZATION

\r\n
    \r\n
  • The process by which an uneven wafer surface is made relatively flat using a low-selectivity etch or through CMP.
  • \r\n
\r\n

\r\n

PLASMA

\r\n
    \r\n
  • A fourth state of matter - not a solid, liquid or gas. In a plasma, the electrons are pulled free from the atoms and can move independently. The individual atoms are charged, even though the total number of positive and negative charges is equal, maintaining an overall electrical neutrality.
  • \r\n
\r\n

\r\n

PMD (PRE-METAL DIELECTRIC)

\r\n
    \r\n
  • Insulating layers deposited over the completed transistors at the end of FEOL processing upon which the first metal interconnect layer is formed.
  • \r\n
\r\n

\r\n

PMOS

\r\n
    \r\n
  • P-channel MOS transistor where the active carriers are holes flowing between p-type source and drain regions in an electrostatically formed p-channel in an n-type silicon substrate.
  • \r\n
\r\n

\r\n

PNA (POST NITRIDATION ANNEAL)

\r\n
    \r\n
  • An RTP step used to reduce leakage current without drive current loss after gate oxide nitridation in the creation of the gate stack.
  • \r\n
\r\n

\r\n

POLYCIDE

\r\n
    \r\n
  • A film stack commonly used for the gate electrode in DRAM fabrication, consisting of tungsten silicide on polysilicon.
  • \r\n
\r\n

\r\n

POLYSILICON

\r\n
    \r\n
  • Polycrystalline silicon (or semicrystalline silicon, polysilicon, poly-Si, or simply "poly") is a material consisting of multiple small silicon crystals. Extensively used as conductor/gate materials in a highly doped state. Poly films are typically deposited by pyrolyzing silane using an LPCVD process.
  • \r\n
\r\n

\r\n

PPI (PIXELS-PER-INCH)

\r\n\r\n

\r\n

PRE-AMORPHIZATION

\r\n
    \r\n
  • A technique used in ion implantation used to reduce channeling by intentionally amorphizing a region before dopant implantation, thus enabling a more uniform dopant profile to be attained. Within an amorphized region there is no channeling, by definition. A pre-amorphization implant is typically done with an inert element such as Argon.
  • \r\n
\r\n

\r\n

PRE-SILICIDE IMPLANT

\r\n\r\n

\r\n

PREDEPOSITION

\r\n
    \r\n
  • The last implant done before deposition of metal.
  • \r\n
\r\n

\r\n

PROCESS

\r\n
    \r\n
  • An operation or group of sequential operations performed in the manufacture of an integrated circuit or other device.
  • \r\n
\r\n

\r\n

PROCESS CHAMBER

\r\n
    \r\n
  • An enclosed area in which a single process is performed in the manufacture of an integrated circuit or other device.
  • \r\n
\r\n

\r\n

PROCESS INTEGRATION

\r\n
    \r\n
  • Optimizing each process step to work correctly with the prior and subsequent steps in a sequential process flow.
  • \r\n
\r\n

\r\n

PROFILOMETER

\r\n
    \r\n
  • Measuring instrument used to measure a surface profile in order to quantify its roughness and critical dimensions such as steps, curvature, flatness, texture and height.
  • \r\n
\r\n

\r\n

PROJECTED CAPACITANCE TOUCH (PCT)

\r\n
    \r\n
  • A type of touch panel that consists of a grid of electrodes that can detect distortions of an electrostatic field caused by the presence of a conductive object such as a finger or conductive stylus.
  • \r\n
  • PCT panels are commonly used for applications that required the precise tracking of multiple contact points simultaneously, such as smartphones and tablet PCs.
  • \r\n
\r\n

\r\n

PV (PHOTOVOLTAIC)

\r\n
    \r\n
  • A process where light is converted to electricity. Solar PV is the generation of electricity from solar radiation.
  • \r\n
\r\n

\r\n

PVB (POLYVINYL BUTYRAL)

\r\n
    \r\n
  • A resin used in the manufacture of thin film PV modules. The PV circuit, formed on a sheet of glass, is covered in a sheet of PVB and then the back glass. This assembly is then laminated to encapsulate the circuit, protecting it from the environment.
  • \r\n
\r\n

\r\n

PVD (PHYSICAL VAPOR DEPOSITION)

\r\n
    \r\n
  • A process technology in which atoms of conducting material (aluminum, titanium nitride, etc.) are sputtered from a target of pure material, then deposited on the substrate to create the conducting circuitry within an integrated circuit or FPD.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_139516855_text-57255" class="cmp-text">

p型

  • 具有正电荷导电性(缺少电子)的半导体材料。

面板级封装(plp)

  • 一种新兴的高密度封装技术,将芯片放在面板上而不是晶圆上,这样可以容纳更少的芯片。

寄生电容

  • 所有电路元件的固有电容等互联晶体管这导致他们的行为偏离“理想”电路元件。
  • 在半导体中,特指距离很近的导体之间的不良电容,它会导致诸如相声
  • 寄生电容可以通过降低介电常数来降低增殖系数粘度值隔离相邻电路元件的绝缘体。

帕累托

  • 一种垂直条形图,其数值按相对频率从左到右的递减顺序绘制。有助于分析首先需要注意的问题。

钝化

  • 半导体器件中的一层,在电路元件上形成密封层,作为制造的最后一步,或在晶圆在加工工具之间转移时保护化学活性物质不发生反应。等离子体氮化物和二氧化硅是主要用于钝化的材料。

模式

  • 在半导体制造技术中,在晶圆上制造所需的电路几何形状。一般用来指的组合光刻技术及相关工艺如模压膜沉积等腐蚀

Pecvd(等离子体增强化学气相沉积)

薄膜

  • 遮光罩:一层透明的聚合物,覆盖在框架上,保护掩模的有图案区域不受空气污染在曝光过程中,任何污染都被保持在焦平面之外,因此不会“打印”在晶圆上。

Perc(钝化射极后触点)

  • 通过在电池后部添加介电钝化层来实现更高能量转换效率的常规电池。介电钝化层通过减少电子重组来提高效率,增加太阳能电池捕获光的能力,并反射通常从太阳能电池中产生热量的特定波长。

移相掩码(psm)

  • 一个光掩模利用相位差产生的干涉来提高光刻中的图像分辨率。

掺磷硅酸盐玻璃(psg)

  • 一种非晶态绝缘材料,由磷掺杂二氧化硅制成,以提高防潮性和回流特性。也称磷硅酸盐玻璃。

光刻

光掩模

  • 一种熔融石英(石英)板,一般为152毫米见方,覆盖有不透明、透明和相移区域的图案,这些区域将被投影到晶圆上光刻技术定义集成电路一层布局的过程。

光致抗蚀剂

  • 一种感光的有机聚合物光刻技术工艺,然后发展,以产生一种模式,识别区域的底层薄膜被蚀刻。

球场

  • 如相邻特征中心之间的距离互连线或联系洞。

PITCH-HALVING

  • 任何从单个图形中创建成对特征的图形技术光刻技术带有球场只有原始图像的一半,因此产生的图案比光刻工艺本身所能产生的图案更小。有时被误解为音调加倍。

像素密度

  • 火焰光度技术,一种描述显示器上单个像素之间距离的分辨率测量方法。通常以每英寸像素表示,或ppi。

整平

  • 利用低选择性蚀刻或透蚀使不平整的晶圆表面相对平坦的工艺CMP

等离子体

  • 物质的第四种状态——不是固体、液体或气体。在等离子体中,电子被从原子中抽离出来,可以独立运动。单个原子是带电的,即使正电荷和负电荷的总数是相等的,保持整体的电中性。

PMD(金属前介质)

  • 绝缘层沉积在完成晶体管的末端FEOL在其上加工第一种金属互连层形成。

管理办公室

  • P -通道MOS晶体管,其中有源载流子是在n型硅衬底中静电形成的p型通道中p型源区和漏区之间流动的空穴。

Pna(氮化后退火)

  • 一个RTP采用步进减小漏电流而无驱动电流损耗的栅极氧化氮化后创建的门堆栈

矽化物

  • 一种薄膜堆,通常用于DRAM制造中的栅电极,由硅化钨组成多晶硅

多晶硅

  • 多晶硅(或半晶硅,多晶硅,多晶硅,或简称“poly”)是由多个小硅晶体组成的材料。广泛用于高掺杂状态下的导体/栅极材料。聚膜通常是通过硅烷热解沉积的LPCVD的过程。

像素每英寸(PPI)

PRE-AMORPHIZATION

  • 一种用于离子注入用于减少通灵通过故意amorphizing之前的一个地区掺杂剂注入,从而能够获得更均匀的掺杂剖面。根据定义,在非晶态区域内是没有通灵的。预非晶化植入物通常是用惰性元素如氩气完成的。

PRE-SILICIDE植入

预淀积

  • 金属沉积前的最后一次植入。

过程

  • 在集成电路或其它设备的制造过程中进行的一种操作或一组顺序操作。

处理室

  • 车间:在集成电路或其他设备的制造中进行单一工序的封闭区域

流程集成

  • 优化每个流程步骤,使其与顺序流程流中的前一步和后一步正确工作。

表面光度仪

  • 用于测量表面轮廓的测量仪器,以量化其粗糙度和关键尺寸,如台阶、曲率、平整度、纹理和高度。

投影电容触摸(pct)

  • 一种触控面板它由一个电极网格组成,可以检测由导电物体(如手指或导电触控笔)存在引起的静电场扭曲。
  • PCT面板通常用于需要同时精确跟踪多个接触点的应用,如智能手机和平板电脑。

PV(光伏)

  • 光转化为电的过程。太阳能光伏发电是利用太阳辐射发电。

PVB(聚乙烯醇丁醛)

  • 一种用于制造薄膜光伏组件的树脂。在玻璃片上形成的PV电路,被一层PVB薄膜覆盖,然后后面的玻璃。然后,该组件被层压封装电路,保护它不受环境的影响。

PVD(物理气相沉积)

  • 一种利用导电材料(铝、氮化钛等)的原子构成原子的工艺技术气急败坏的说从一个目标由纯材料制成,然后沉积在衬底上,在集成电路或火焰光度

回到顶部

 

\r\n

QUADRUPLE PATTERNING

\r\n
    \r\n
  • A class of patterning techniques designed to quadruple the density of circuit features that can be produced on the wafer at the resolution limit of a particular lithography stepper.
  • \r\n
\r\n

\r\n

QUADRUPOLE LENS

\r\n
    \r\n
  • A magnetic or electrostatic field generated by four poles of alternating signs arranged in a circle; used to focus a beam of charged particles.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1426887006_text-68531" class="cmp-text">

四模式

  • 在特定光刻技术的分辨率限制下,设计用于将晶圆上可产生的电路特征密度提高四倍的一类模式化技术步进

四极透镜

  • 磁场:由排成一圈的四个交替符号极点产生的磁场或静电场;用来聚焦带电粒子束的。

回到顶部

R

 

\r\n

R2R (RUN-TO-RUN CONTROL)

\r\n
    \r\n
  • An APC technique that allows modification of a processing parameters between machine "runs" to minimize variability.
  • \r\n
\r\n

\r\n

RADICAL

\r\n
    \r\n
  • An atom or group of atoms that has at least one unpaired electron and is therefore unstable and highly reactive.
  • \r\n
\r\n

\r\n

RAMAN SPECTROSCOPY

\r\n
    \r\n
  • A spectroscopic method of chemical analysis that relies on the inelastic (Raman) scattering of monochromatic light. Enables real-time reaction monitoring and characterization of compounds in a non-contact manner.
  • \r\n
\r\n

\r\n

RAPID THERMAL PROCESSING (RTP)

\r\n
    \r\n
  • An anneal process in which a wafer is heated to a specified temperature for a short period of time.
  • \r\n
  • RTP is repeatedly used during semiconductor device manufacturing for such purposes as activating implanted dopants or changing the state (or phase) of materials to enhance desired attributes (e.g., conductivity). Annealing can be performed using three technologies – soak, spike, and millisecond. The choice of technology depends on several factors, including the tolerance of the device to withstand a certain temperature/time exposure at a particular point in the manufacturing sequence. Broadly speaking, devices can bear longer periods of exposure (30-90 seconds), also known as soak annealing, at high temperatures early in the manufacturing cycle. As the cycle progresses, temperatures must either be lowered or exposures significantly shortened if high temperatures are required. Spike annealing falls in the latter category and is used for source-drain implant activation and diffusion as well as high-k/metal gate fabrication.
  • \r\n
\r\n

 

\r\n

RE-ENTRANT PROFILE
\r\n

\r\n
    \r\n
  • One that is angled inward. Refers to features whose sidewalls are concave.
  • \r\n
\r\n

\r\n

RECIPE

\r\n
    \r\n
  • The memorized parameters for a particular process step, such as gas flows temperature and pressure. In general, the same recipe is used for all wafers in a lot.
  • \r\n
\r\n

\r\n

REDISTRIBUTION LAYER (RDL)

\r\n
    \r\n
  • An extra metal layer on a chip that makes the input/output pads of an integrated circuit available in other locations, making chip-to-chip bonding easier.
  • \r\n
\r\n

 

\r\n

RENEWABLE ENERGY STANDARD (RES)
\r\n

\r\n\r\n

 

\r\n

RENEWABLE PORTFOLIO STANDARD (RPS)
\r\n

\r\n
    \r\n
  • A regulation that requires the increased production of energy from renewable energy sources, such as wind, solar, biomass, and geothermal. Another common name for the same concept is renewable electricity standard (RES).
  • \r\n
\r\n

\r\n

RESISTANCE

\r\n
    \r\n
  • A measure of the degree to which conducting material opposes an electric current passing through it.
  • \r\n
\r\n

\r\n

RESOLVING APERTURE

\r\n
    \r\n
  • In ion implantation, a small aperture, usually found directly after the analyzer, which resolves the beam to only one type of molecule or atom with a specific charge.
  • \r\n
\r\n

\r\n

RETICLE

\r\n
    \r\n
  • A flat, transparent plate, used in a stepper that contains the image of wafer patterns to be reproduced on a wafer. Often used interchangeably with photomask.
  • \r\n
\r\n

\r\n

RETINA DISPLAY

\r\n
    \r\n
  • A trademark of the Apple Corporation used to describe any display where the pixel density is sufficiently high that the human eye cannot distinguish individual pixels.
  • \r\n
  • Note that this term does not directly correlate with pixel density, because it includes the viewing distance. For a mobile phone screen to qualify as a retina display it should have a pixel density in excess of 300ppi, but a television need only be approximately 50ppi.
  • \r\n
\r\n

\r\n

RF (RADIO FREQUENCY)

\r\n
    \r\n
  • In semiconductor fabrication, the oscillation rate of an electromagnetic or electrostatic field in the range of 2MHz-200MHz or 1-3GHz. In some types of wafer processing chambers, plasma is initiated by applying strong RF fields to the ESC, roof inductive structures, or roof capacitive structures; the oscillating electric field ionizes the gas molecules by stripping them of electrons to create the plasma.
  • \r\n
\r\n

\r\n

RF PVD (RADIO FREQUENCY PVD)

\r\n
    \r\n
  • A type of PVD process that uses an inductively-coupled plasma to allow lower ion energies compared to conventional PVD reactors, and thus a more gentle deposition mechanism that can create very thin, sub-nanometer films and virtually eliminate damage to the underlying circuit features.
  • \r\n
\r\n

\r\n

RIBBON BEAM

\r\n
    \r\n
  • in ion implantation, an electron beam that has been confined into a rectangular cross section allowing the entire surface of a wafer to be covered by steering the beam along a single axis. As opposed to a spot beam which must be scanned back and forth to cover the wafer.
  • \r\n
\r\n

\r\n

RIE (REACTIVE ION ETCH)

\r\n
    \r\n
  • An etching technology that uses chemically reactive plasma to remove material deposited on wafers. High-energy ions from the plasma react with material on the wafer surface.
  • \r\n
\r\n

\r\n

ROUGHING PUMP

\r\n
    \r\n
  • A mechanical pump used for the initial evacuation of a vacuum system. The process is called "roughing."
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1273599225_text-84643" class="cmp-text">

R2r(运行到运行控制)

  • 一个APC允许在机器“运行”之间修改加工参数以最小化可变性的技术。

激进的

  • 原子团至少有一个未配对电子的原子或原子群,因此不稳定且具有高度的反应性

拉曼光谱

  • 一种依赖于单色光的非弹性(拉曼)散射的化学分析光谱方法。能够以非接触的方式对化合物进行实时反应监测和表征。

快速热加工(rtp)

  • 一个退火短时间内将晶圆片加热到指定温度的过程。
  • RTP在半导体器件制造过程中被反复使用激活植入掺杂物或者改变材料的状态(或相)以增强所需的属性(例如,导电性)。退火可以使用三种技术——浸泡、尖刺和毫秒。技术的选择取决于几个因素,包括器件在制造序列的特定点上承受特定温度/时间暴露的公差。一般来说,器件可以在制造周期早期的高温下承受较长时间的曝光(30-90秒),也称为浸泡退火。随着循环的进行,如果需要高温,则必须降低温度或显著缩短曝光时间。尖刺退火属于后一类,用于-排水植入物的激活和扩散以及高k/metal制造。

凹角剖面

  • 内角:向内倾斜的指侧壁是凹的特征。

配方

  • 特定工艺步骤的记忆参数,如气体流量、温度和压力。一般来说,相同的配方用于所有的晶圆很多

再分配层(rdl)

  • 芯片上额外的金属层,用于制造芯片的输入/输出垫集成电路可在其他位置使用,使芯片到芯片的连接更容易。

可再生能源标准(res)

可再生能源组合标准(rps)

  • 一项要求增加可再生能源(如风能、太阳能、生物质能和地热)能源产量的法规。同一概念的另一个常用名称是可再生电力标准(RES)。

电阻

  • 阻值:导电材料抵抗通过其的电流的程度的量度

解决孔径

  • 离子注入这是一个小孔径,通常直接在分析仪后面发现,它将光束分解为具有特定电荷的一种分子或原子。

十字线

  • 透明板:一种扁平的透明板,用于步进它包含要在晶圆上再现的晶圆图案的图像。常与交替使用光掩模

视网膜显示屏

  • 苹果公司的商标,用于描述任何像素密度高到人眼无法分辨单个像素的显示器。
  • 请注意,这个术语与像素密度,因为它包含了观看距离。手机屏幕的像素密度应该超过300ppi,才有资格成为视网膜显示器,而电视机的像素密度只需大约50ppi。

射频(射频)

  • 在半导体制造中,电磁或静电场在2MHz-200MHz或1-3GHz范围内的振荡速率。在某些类型的晶圆加工室中,等离子体是通过对ESC、顶部感应结构或顶部电容结构施加强射频场来启动的;振荡电场通过剥离气体分子中的电子来产生等离子体,从而使气体分子电离。

射频PVD(射频PVD)

  • 一种周围性血管疾病该工艺使用电感耦合等离子体,与传统的PVD反应器相比,允许更低的离子能量,从而形成更温和的沉积机制,可以产生非常薄的亚纳米薄膜,并几乎消除对底层电路特性的破坏。

丝带束

  • 离子注入这是一种电子束,它被限制在一个矩形横截面内,通过沿单轴引导电子束来覆盖晶圆的整个表面。与点束相反,点束必须来回扫描以覆盖晶圆。

Rie(活性离子蚀刻)

  • 一种蚀刻技术,使用化学反应等离子体去除沉积在晶圆上的物质。来自等离子体的高能离子与晶圆表面的物质发生反应。

低真空泵

  • 用于真空系统初始抽气的机械泵。这个过程被称为“粗制”。

回到顶部

年代

 

\r\n

SACVD (SUB-ATMOSPHERIC CHEMICAL VAPOR DEPOSITION)

\r\n
    \r\n
  • A term coined by Applied Materials to describe CVD processes performed slightly below atmospheric pressure using TEOS/ozone chemistry.
  • \r\n
\r\n

\r\n

SADP (SELF-ALIGNED DOUBLE PATTERNING)

\r\n\r\n

\r\n

SALICIDE

\r\n
    \r\n
  • A contraction of self-aligned silicide. Salicide processing technology seeks to exploit the principle that a refractory metal deposited on a patterned silicon substrate will selectively react with exposed silicon under specific processing conditions, and will not react with adjacent materials, such as silicon oxide material. Thus, no patterning step is required.
  • \r\n
\r\n

\r\n

SAQP (SELF-ALIGNED QUADRUPLE PATTERNING)

\r\n
    \r\n
  • A patterning technique employing sacrificial sidewall spacer films to achieve four times higher resolution than the normal limits of a particular lithography stepper.
  • \r\n
\r\n

\r\n

SCAN

\r\n
    \r\n
  • In ion implantation, the movement of the ion beam relative to the wafer in order to cover the entire wafer surface.
  • \r\n
\r\n

\r\n

SCANNER

\r\n
    \r\n
  • A component of an ion implanter that either moves the ion beam across the wafer, or moves the wafer through the ion beam. This may be accomplished with a magnetic field, an electrostatic field or with mechanical motion.
  • \r\n
\r\n

 

\r\n

SCANNING ELECTRON MICROSCOPE (SEM)
\r\n

\r\n
    \r\n
  • A microscope that uses an electron beam rather than light to illuminate the sample. The beam is scanned back and forth across the sample surface.
  • \r\n
\r\n

\r\n

SCREEN

\r\n
    \r\n
  • In crystalline silicon solar PV manufacturing, a sheet of woven material supporting a stencil with open areas through which silver paste or other materials is forced by a roller or squeegee to form a pattern on a wafer. It is analogous to the photomask in semiconductor manufacturing.
  • \r\n
\r\n

\r\n

SCREEN OXIDE

\r\n
    \r\n
  • In ion implantation, a thin, sacrificial layer of SiO2 which stops stray ions entrained in the ion beam and which will be subsequently removed. In addition, the screen oxide slightly scatters the main ion beam thus prevents channeling.
  • \r\n
\r\n

\r\n

SECONDARY VACUUM PUMP

\r\n
    \r\n
  • Any vacuum pump which cannot exhaust directly to atmosphere, i.e. that must work in tandem with a roughing pump. Commonly used secondary pumps in semiconductors are cryopumps and turbopumps.
  • \r\n
\r\n

 

\r\n

 

\r\n

SECS/GEM (SEMI EQUIPMENT COMMUNICATION STANDARD/GENERIC EQUIPMENT MODEL)
\r\n

\r\n
    \r\n
  • A software protocol used to standardize communication between semiconductor manufacturing equipment and the host control system. It was designed to simplify factory automation by establishing a common set of instructions that would be understood by all the equipment in a fab.
  • \r\n
\r\n

\r\n

SEED LAYER

\r\n
    \r\n
  • nucleation layer where the nucleation material is the same as the subsequently deposited film.
  • \r\n
\r\n

\r\n

SELECTIVE

\r\n
    \r\n
  • Occurring only where desired without affecting neighboring materials, as in selective deposition or selective removal.
  • \r\n
\r\n

\r\n

SELECTIVE EMITTER

\r\n
    \r\n
  • A technique used to increase the conversion efficiency of crystalline silicon PV solar cells. Selective emitters are heavily-doped regions placed precisely underneath the front metal contact lines in order to reduce electrical contact resistance and allow electricity to flow more freely. The doped regions are typically fabricated by depositing dopant paste on the surface of the wafer and then printing the contact lines on top.
  • \r\n
\r\n

\r\n

SELECTIVITY

\r\n
    \r\n
  • The ratio of etch rates observed in two materials during etch processing. Typically used to refer to the relative etch rates of a material intended for removal and the mask, and an important metric of etch pattern fidelity.
  • \r\n
\r\n

\r\n

SEMICONDUCTOR

\r\n
    \r\n
  • A material whose electrical conductivity is intermediate between that of metals (conductors) and insulators (non-conductors) and can be modified physically or chemically to increase or decrease its conductivity by the addition of dopants.
  • \r\n
\r\n

\r\n

SILANE (SiH4)

\r\n
    \r\n
  • A gas that readily decomposes into silicon and hydrogen, silane is often used to deposit silicon- containing compounds. It also reacts with ammonia to form silicon nitride, or with oxygen to form silicon dioxide.
  • \r\n
\r\n

\r\n

SILICIDATION

\r\n
    \r\n
  • An anneal (sintering) process resulting in the formation of metal-silicon alloy (silicide) to act as a contact. For example, Ti deposited on Si forms TiSi2 as a result of silicidation.
  • \r\n
\r\n

\r\n

SILICIDE

\r\n
    \r\n
  • A compound of silicon with a more electropositive element. Nickel, tantalum, titanium and cobalt silicide films are used to create ohmic (low-resistivity) contacts for transistor connections. Molybdenum silicide is commonly used as a light-absorbing layer in photomasks. Tungsten silicide (polycide) is used for DRAM gate electrodes.
  • \r\n
\r\n

\r\n

SILICON DIOXIDE (SiO2)

\r\n
    \r\n
  • The most common dielectric material used in semiconductor manufacturing, due to its versatility and stability. Also known simply as "oxide", it can be grown direction on silicon wafers via thermal oxidation or deposited via PECVD or HDP-CVD processes.
  • \r\n
\r\n

\r\n

SILICON NITRIDE (Si3N4)

\r\n
    \r\n
  • A silicon/nitrogen film dielectric deposited using plasma-enhanced or LPCVD. Sometimes loosely referred to as SiN.
  • \r\n
\r\n

\r\n

SINGLE JUNCTION

\r\n\r\n

\r\n

SIP (SELF-IONIZED PLASMA)

\r\n
    \r\n
  • A high-power magnetron source for PVD processes which imparts sufficient energy to the plasma such that the sputtered metal atoms are ionized. The metal ions can then be accelerated towards the wafer using an electric field, creating a more directional deposition pattern and thus higher step coverage in small geometry structures.
  • \r\n
\r\n

\r\n

SLURRY

\r\n
    \r\n
  • In semiconductors, a suspension of abrasive solids in a liquid used for CMP processes. In PV, used as the abrasive medium in a wire saw for wafering.
  • \r\n
\r\n

\r\n

SMO (SOURCE-MASK OPTIMIZATION)

\r\n
    \r\n
  • Source-mask optimization (SMO) is a resolution enhancement technique used in lithography to compensate for image errors due to aberrations, diffraction or process effects.
  • \r\n
\r\n

\r\n

SOI (SILICON-ON-INSULATOR)

\r\n
    \r\n
  • The use of a layered silicon-insulator-silicon substrate in semiconductor manufacturing. SOI substrates provide reduced parasitic capacitance between adjacent devices in an integrated circuit as compared to devices built into bulk wafers, enabling reduced power consumption and thus higher device performance.
  • \r\n
\r\n

\r\n

SOLAR CELL

\r\n
    \r\n
  • A device that converts the energy of sunlight directly into electricity by the photovoltaic effect. Multiple cells are wired together to form modules.
  • \r\n
\r\n

\r\n

SOLAR FARM

\r\n
    \r\n
  • A utility-scale photovoltaic power station.
  • \r\n
\r\n

\r\n

SOURCE

\r\n
    \r\n
  • The input terminal of an FET.
  • \r\n
  • In ion implantation, the source of the desired dopant species that are processed into a plasma from which the ion beam is extracted.
  • \r\n
  • In plasma processes such as etch, the energy source that is used to create and sustain the plasma in the reaction chamber.
  • \r\n
\r\n

\r\n

SOURCE-DRAIN EXTENSION

\r\n
    \r\n
  • A lightly-doped region extending from the source or drain into the transistor channel designed to spread out the electrical field during operation of a transistor device. Without the extension, the electric fields in very small transistors may be sufficient to damage the gate dielectric and cause device failure.
  • \r\n
  • The ion implantation process used to create the source-drain extension is an example of damage engineering.
  • \r\n
\r\n

\r\n

SPC (STATISTICAL PROCESS CONTROL)

\r\n
    \r\n
  • A method for improving quality control in manufacturing by applying statistical techniques to the monitoring and control of a process.
  • \r\n
\r\n

\r\n

SPILLOVER CUP

\r\n
    \r\n
  • A stationary cooled metal plate, located on top of an implant disk, that captures the ion beam during overscan.
  • \r\n
\r\n

\r\n

SPLIT LOT

\r\n
    \r\n
  • When different recipes are used within a lot for experimental purposes to improve the performance of a particular process step, the lot is referred to as a split lot.
  • \r\n
\r\n

\r\n

SPUTTERING

\r\n
    \r\n
  • A method of depositing a film where atoms are ejected from a solid target material due to bombardment of the target by energetic particles.
  • \r\n
\r\n

\r\n

SQUARING

\r\n
    \r\n
  • In PV wafer manufacturing, the process of cutting silicon ingots into rectangular blocks using a specialized wire saw. The squared blocks, or bricks, are then sliced into individual wafers in the wafering process.
  • \r\n
\r\n

\r\n

SRAM (STATIC RANDOM ACCESS MEMORY)

\r\n
    \r\n
  • A type of computer memory where each bit is stored in a network of usually 6 or 8 transistors which has two stable states.
  • \r\n
  • SRAM cells are complex and consume more area on a chip than DRAM cells, but are much faster and more power-efficient.
  • \r\n
  • Microprocessors and other logic chips are often fabricated with SRAM cells on die for use as cache memory, used to store the most frequently accessed instructions and data.
  • \r\n
\r\n

\r\n

SRU (SLURRY RECOVERY UNIT)

\r\n
    \r\n
  • A machine used in wafering and CMP that processes used slurry in order to recover material such as abrasives and cooling for subsequent recycling.
  • \r\n
\r\n

\r\n

STEP COVERAGE

\r\n
    \r\n
  • The ratio of thickness of film on the sides of a feature to the thickness of the film at the bottom (e.g., in a via) or over the top of a feature (e.g., fins of a FinFET) respectively.
  • \r\n
\r\n

\r\n

STEPPER

\r\n
    \r\n
  • Equipment used to transfer a reticle (photomask) pattern onto a wafer. The same pattern is transferred onto each die on the wafer.
  • \r\n
\r\n

\r\n

STI (SHALLOW TRENCH ISOLATION)

\r\n
    \r\n
  • A technique to isolate each transistor or memory cell from its neighbors in order to prevent current leakage. The technique employs a pattern of trenches etched in the silicon, filled with an insulating material such as silicon dioxide.
  • \r\n
\r\n

\r\n

STRAIN ENGINEERING

\r\n
    \r\n
  • Processes used in semiconductor manufacturing that introduce stress into transistors and memory cells by distorting the crystal lattice. In logic, this enables electricity to move more easily through the transistor, increasing transistor performance. In memory, strain can also reduce leakage current, allowing higher cell densities.
  • \r\n
\r\n

\r\n

STRUCTURED WIRE

\r\n
    \r\n
  • A kind of cutting wire used in wire saws that is formed, or crimped, into a sawtooth or similar profile in order to increase cutting speed.
  • \r\n
\r\n

\r\n

SUBSTRATE

\r\n
    \r\n
  • The material upon which thin films are manipulated. Silicon is most commonly used for semiconductors and c-Si PV cells. Glass is commonly used for LCD and thin film PV applications.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1648521523_text-58136" class="cmp-text">

亚大气化学气相沉积

  • 这是应用材料公司发明的术语,用来描述化学汽相淀积过程执行略低于大气压使用张志贤/臭氧化学。

Sadp(自对齐双模式)

SALICIDE

  • 自对齐的收缩硅化物。水杨酸盐加工技术寻求利用这样一种原理,即在有图案的硅衬底上沉积的难熔金属在特定的加工条件下会选择性地与裸露的硅发生反应,而不会与邻近的材料发生反应,例如氧化硅材料。因此,不需要任何模式步骤。

Saqp(自对齐四重模式)

  • 一种利用牺牲侧壁间隔膜来达到比特定光刻的正常极限高四倍分辨率的制图技术步进

扫描

  • 离子注入,离子束相对于晶圆的运动,以覆盖整个晶圆表面。

扫描仪

  • 的组成部分离子注入机要么让离子束穿过晶圆,要么让晶圆穿过离子束。这可以通过磁场、静电场或机械运动来完成。

扫描电子显微镜(sem)

  • 用电子束而不是光来照射样品的显微镜。光束在样品表面来回扫描。

屏幕

  • 晶体硅太阳能光伏制造工艺,一种编织材料,支撑有开放区域的模板,银膏或其他材料由滚轮或刮刀强制通过该区域在晶圆上形成图案。它类似于光掩模在半导体制造业。

屏幕上的氧化

  • 离子注入这是一层薄薄的、牺牲的SiO2层,它可以阻止离子束中夹带的杂散离子,并随后将其移除。此外,屏蔽氧化物轻微散射主离子束,从而防止通灵

二次真空泵

Secs / gem(半设备通信标准/通用设备模型)

  • 一种软件协议,用于使半导体制造设备和主机控制系统之间的通信标准化。它的设计目的是通过建立一套通用的指令来简化工厂的自动化,这些指令可以被工厂中的所有设备理解工厂

种子层

  • 一个成核层其中,所述成核材料与随后沉积的薄膜相同。

有选择性的

  • 选择性的,选择性的只在需要的地方发生而不影响相邻材料的,如选择性沉积或选择性去除

选择性发射极

  • 一种用于提高晶体硅转换效率的技术光伏太阳能电池。选择性发射器是重掺杂区域,精确地放置在前端金属接触线的下方,以减少电接触电阻,并允许电流更自由地流动。掺杂区域通常是通过沉积制备的掺杂剂粘贴在晶圆片表面,然后在顶部打印接触线。

选择性

  • 两种材料在蚀刻过程中所观察到的蚀刻速率之比。通常用来指一种材料的相对蚀刻速率,意图去除和面具,是蚀刻图案保真度的重要指标。

半导体

  • 导电性介于金属(导体)和绝缘体(非导体)之间的一种材料,可以通过物理或化学改性来增加或降低其导电性掺杂物

硅烷(SiH4)

  • 硅烷是一种容易分解成硅和氢的气体,常用于沉积含硅化合物。它还与氨反应生成氮化硅,或与氧反应生成二氧化硅。

SILICIDATION

  • 一种退火(烧结)过程,形成金属硅合金(硅化物)作为触点。例如,沉积在Si上的Ti由于硅化作用形成TiSi2。

硅化物

  • 含正电性较强元素的硅化合物。镍、钽、钛和钴硅化膜用于制造晶体管连接的欧姆(低电阻率)触点。硅化钼通常用作吸光层光掩模。硅化钨(矽化物)用于DRAM栅极。

二氧化硅(SiO2)

  • 最常见的介质半导体制造中使用的材料,由于其多功能性和稳定性。也被简单地称为“氧化物”,它可以通过热氧化或沉积在硅片上方向生长PECVD黄芪丹参滴丸-化学汽相淀积流程。

氮化硅(Si3N4)

  • 利用等离子体增强或LPCVD沉积的硅/氮薄膜电介质。有时被称为罪恶。

单结

  • 由单个p-n结构成的PV电池。这包括非晶硅薄膜和大多数晶体硅细胞类型。

自电离等离子体

  • 一种大功率磁控管源周围性血管疾病使等离子体具有足够能量的过程气急败坏的说金属原子被电离。然后,金属离子可以在电场作用下加速向晶圆方向移动,从而在小几何结构中产生更定向的沉积模式和更高的台阶覆盖。

泥浆

  • 在半导体技术中,一种研磨性固体在液体中的悬浮液,用于CMP流程。在PV中,用作磨料介质线锯压扁

源掩码优化

  • 源掩码优化(SMO)是一种分辨率增强技术光刻技术补偿由于像差、衍射或过程影响而引起的图像误差。

SOI(绝缘体)

  • 层状硅绝缘体硅衬底在半导体制造中的应用。SOI衬底提供还原寄生电容在集成电路中相邻设备之间,与内置在批量晶圆中的设备相比,可以降低功耗,从而提高设备性能。

太阳能电池

  • 一种将太阳光直接转化为电能的装置光伏的效果。多个细胞连接在一起形成模块

太阳能农场

  • 一个公用事业规模的光伏电站。

SOURCE-DRAIN扩展

  • 轻,掺杂排水进入晶体管通道在晶体管装置工作时,用来分散电场的。如果没有扩展,非常小的晶体管中的电场可能足以损坏栅极电介质并导致器件故障。
  • 离子注入用于创建源-排泄扩展的进程就是一个例子损害工程

统计过程控制

  • 将统计技术应用于过程的监测和控制,从而改进制造过程质量控制的方法。

溢出杯

  • 一个固定的冷却金属板,位于一个植入盘的顶部,捕获离子束过扫描

分很多

  • 当不同的食谱很多为了实验目的,提高某一特定工艺步骤的性能,该批次被称为分割批次。

溅射

  • 从固体中喷射出原子的一种沉积薄膜的方法目标因高能粒子轰击目标而产生的物质。

平方

  • 光伏晶圆制造,是将硅锭切割成矩形块的专用工艺线锯。然后将方块或砖块切成单独的晶圆压扁的过程。

静态随机存取存储器

  • 一种计算机存储器,每个比特存储在通常由6或8个晶体管组成的网络中,具有两种稳定状态。
  • SRAM单元是复杂的,在芯片上消耗更多的面积动态随机存取记忆体电池,但是更快更节能。
  • 微处理器和其他逻辑芯片通常在芯片上使用SRAM单元作为缓存存储器,用于存储最频繁访问的指令和数据。

泥浆回收装置

  • 用于…的机器压扁CMP使用的流程泥浆以回收磨料和冷却等材料,供后续循环利用。

一步覆盖

  • 特征两侧的薄膜厚度与特征底部(例如,在通孔中)或特征顶部(例如,FinFET的翅片)的薄膜厚度的比率。

步进

  • 用于转移十字线的设备(光掩模)晶圆片上的图案。相同的模式被转移到每一个在晶圆上。

Sti(浅沟隔离)

  • 一种隔离每个晶体管或存储单元以防止电流泄漏的技术。该技术采用了在硅上蚀刻沟槽的模式,并填充了二氧化硅等绝缘材料。

应变工程

  • 半导体制造中通过扭曲晶体将应力引入晶体管和存储单元的过程晶格。在逻辑上,这使得电更容易通过晶体管,提高晶体管的性能。在存储器中,应变还可以减少漏电流,从而允许更高的电池密度。

结构线

  • 一种用于切割的金属丝线锯为了提高切削速度而形成或卷曲成锯齿形或类似轮廓的。

底物

  • 薄膜:在其上加工薄膜的材料硅最常用于半导体和c-Si光伏电池。玻璃通常用于液晶显示器和薄膜PV应用。

回到顶部

T

 

\r\n

TANDEM JUNCTION

\r\n
    \r\n
  • A solar PV cell type that uses multiple light converting materials to increase conversion efficiency. Tandem junction thin film silicon cells, for example, use amorphous silicon and microcrystalline layers.
  • \r\n
\r\n

\r\n

TARGET

\r\n
    \r\n
  • In PVD, the target is the source of the material to be deposited. Atoms are ejected from the target as a result of the bombardment of energetic particles.
  • \r\n
\r\n

\r\n

TCO (TRANSPARENT CONDUCTIVE OXIDE)

\r\n
    \r\n
  • Doped metal oxide films used in optoelectronic devices such as flat panel displays, touch panels and photovoltaics. In LCDs, TCO layers form the electrodes that generate the electric field to polarize the liquid crystal. In touch panels, TCO layers are used for the sensing electrodes. In PV, the TCO forms the top electrode of the cell.
  • \r\n
\r\n

\r\n

TEM (TRANSMISSION ELECTRON MICROSCOPE)

\r\n
    \r\n
  • A transmission electron microscope that transmits a beam of electrons through an ultra-thin specimen. It operates on the same basic principle as the optical microscope but with much higher resolution.
  • \r\n
\r\n

\r\n

TEOS

\r\n
    \r\n
  • A liquid source for oxide deposition, Tetraethyl orthosilicate is the chemical compound with the
    \r\nformula Si(OC2H5)4.
  • \r\n
\r\n

\r\n

TERMINAL EFFECT

\r\n
    \r\n
  • The terminal effect is a phenomenon in electrochemical deposition whereby the deposited film tends to be thicker at the edge of the wafer than the center. It stems from a voltage drop that occurs from where the negative terminal contacts the wafer edge towards the center, due to the resistance of the wafer. A primary component of this resistance is the seed layer which is deposited on the wafer by PVD before the plating process. The seed layer becomes thinner at each technology node, which increases the resistivity of the wafer and exacerbates the terminal effect. The effect can be compensated for by using an advanced current density control system that can modulate the applied voltage across the wafer, resulting in uniform deposition across the wafer.
  • \r\n
\r\n

\r\n

TFT-LCD (THIN-FILM TRANSISTOR LIQUID CRYSTAL DISPLAY)

\r\n
    \r\n
  • A type of LCD display that uses a thin-film transistor located at each pixel to directly drive the polarization of the liquid crystal, and thus control whether that pixel is on and off.
  • \r\n
\r\n

 

\r\n

THIN FILM
\r\n

\r\n
    \r\n
  • A layer of material ranging from fractions of a nanometer to several micrometers thick.
  • \r\n
\r\n

\r\n

THIN-FILM TRANSISTOR (TFT)

\r\n
    \r\n
  • MOSFET manufactured with thin film technology, used primarily in the manufacturing of active matrix LCDs.
  • \r\n
\r\n

\r\n

THROUGH-SILICON VIA (TSV)

\r\n
    \r\n
  • A type of interconnect used in wafer-level packaging. A TSV is a vertical electrical connection, or via, that passes completely through the substrate to pass electrical signals between stacked die.
  • \r\n
\r\n

\r\n

THROUGHPUT

\r\n
    \r\n
  • The number of wafers a tool can process per hour.
  • \r\n
\r\n

\r\n

TILT

\r\n\r\n

\r\n

TOOL

\r\n
    \r\n
  • A term used to refer to a piece of semiconductor processing equipment.
  • \r\n
\r\n

\r\n

TOPOGRAPHY

\r\n
    \r\n
  • In semiconductor, non-planarity generated by the fabrication of features on the wafer surface. This can have significant effects on the patterning of subsequent layers because the limited depth of field of the stepper optical system may cause parts of the pattern to be out of specification. Also used to describe non-uniformity induced by different material removal rates in CMP.
  • \r\n
\r\n

\r\n

TOUCH PANEL

\r\n
    \r\n
  • An type of computer interface that detects the presence of a stylus or finger on a typically rectangular area.
  • \r\n
  • Often integrated with a display to produce a touch screen.
  • \r\n
\r\n

\r\n

TOUCH SCREEN

\r\n
    \r\n
  • A type of display, such as an TFT-LCD or AMOLED that incorporates a touch panel to enable the user to interact directly with the displayed image rather than indirectly via a mouse or trackball.
  • \r\n
\r\n

\r\n

TRACK

\r\n
    \r\n
  • tool that integrates several steps needed to process photoresist (deposition, soft bake, exposure, developing, hard bake) in semiconductor manufacturing.
  • \r\n
\r\n

\r\n

TRANSISTOR

\r\n
    \r\n
  • A semiconductor device used to switch and amplify electronic signals that serves as the basic element of a integrated circuit.
  • \r\n
\r\n

\r\n

TRENCH

\r\n
    \r\n
  • A groove etched in a wafer to be used as part of a device structure.
  • \r\n
\r\n

\r\n

TRENCH CAPACITOR

\r\n
    \r\n
  • A capacitor built into a trench on the substrate. This technique allows capacitance can be increased without increasing the area on the wafer needed to form the capacitor.
  • \r\n
\r\n

 

\r\n

TURBOMOLECULAR PUMP (TURBO PUMP)
\r\n

\r\n
    \r\n
  • A type of secondary vacuum pump used to create a high vacuum. High speed turbine blades, alternating with stationary blades, compress gas molecules to the bottom of the pump for removal by a roughing pump.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_453521840_text-1336" class="cmp-text">

串联连接

  • 一种太阳能光伏电池类型,使用多种光转换材料,以提高转换效率。例如,串联结硅薄膜电池的使用非晶硅和微晶层。

目标

  • 周围性血管疾病时,靶材是待沉积材料的来源。由于高能粒子的轰击,原子从目标中喷射出来。

透明导电氧化物

  • 掺杂金属氧化物薄膜,用于光电器件,如平板显示器,触摸面板和光伏。在液晶显示器中,TCO层形成电极,产生电场来极化液晶。在触摸面板中,TCO层用于传感电极。在光伏时,TCO形成电池的顶部电极。

透射电子显微镜

  • 透射电子显微镜一种透射电子显微镜,它能使电子束穿过超薄的样品它的工作原理与光学显微镜相同,但分辨率高得多。

张志贤

  • 正硅酸四乙酯是氧化沉积的一种液体来源
    公式Si (OC2H5) 4。

终端效应

  • 终端效应是一种现象电化学沉积因此,所沉积的薄膜在晶圆的边缘往往比中心厚。它源于一个电压降,发生在负极接触晶圆边缘的中心,由于晶圆的电阻。这种阻力的主要组成部分是种子层在晶圆上沉积的是什么周围性血管疾病电镀工艺前。种子层在每个技术节点上变薄,这增加了晶圆的电阻率,加剧了终端效应。这种效应可以通过使用先进的电流密度控制系统进行补偿,该系统可以调节晶圆上的施加电压,从而在晶圆上均匀沉积。

Tft-lcd(薄膜晶体管液晶显示器)

薄膜

  • 纳米层:一纳米到几微米厚的材料层

薄膜晶体管(tft)

  • 一个场效应晶体管采用薄膜技术制造,主要用于有源矩阵液晶显示器的制造。

硅通孔(tsv)

吞吐量

  • 晶圆的数量a工具可以每小时处理一次。

倾斜

工具

  • 用来指一块半导体加工设备的术语。

地形

  • 在半导体技术中,由于在晶圆表面制造特征而产生的非平面性。这可能会对后续层的图案产生重大影响,因为有限的景深步进光学系统可能导致部分图案不符合规格。也用于描述由不同材料去除率引起的不均匀性CMP

触控面板

  • 一种计算机界面,用于检测触控笔或手指在一个典型的矩形区域上的存在。
  • 通常与显示器集成以产生触摸屏

触摸屏

  • 一种显示类型,如液晶显示器AMOLED它包含了触控面板使用户能够直接与显示的图像交互,而不是通过鼠标或轨迹球间接交互。

跟踪

  • 一个工具它集成了半导体制造中光刻胶加工所需的几个步骤(沉积、软烘焙、曝光、显影、硬烘焙)。

晶体管

  • 一种半导体器件,用于开关和放大电子信号,是集成电路的基本元件。

  • 晶圆片上蚀刻的槽,用作器件结构的一部分。

海沟电容器

  • 内置于电容器中的电容器在基板上。这种技术可以在不增加形成电容器所需的晶圆面积的情况下增加电容。

涡轮分子泵(涡轮泵)

  • 一种二次真空泵用于制造高度真空。高速涡轮叶片,与静止叶片交替,将气体分子压缩到泵的底部,由粗加工泵去除。

回到顶部

U

 

\r\n

UHV

\r\n\r\n

\r\n

ULTRA HIGH DOSE IMPLANTER

\r\n\r\n

\r\n

ULTRA-HIGH DEFINITION (UHD)

\r\n
    \r\n
  • A digital video format with a resolution of 3840 x 2160 pixels.
  • \r\n
  • Also known as 2160p and 4K, UHD has four times as many pixels as conventional HD 1080p video.
  • \r\n
\r\n

\r\n

ULTRA-SHALLOW JUNCTION (USJ)

\r\n
    \r\n
  • An area of semiconductor manufacturing that is focused on reducing the thickness of the junctions that form the source and drain regions of advanced transistors in order to improve performance while maintaining acceptable leakage current and breakdown voltage.
  • \r\n
\r\n

\r\n

UNDERBUMP METALLIZATION (UBM)

\r\n
    \r\n
  • Deposition process in flip-chip packages that connects the die to the substrate with solder bumps.
  • \r\n
\r\n

\r\n

UNDOPED SILICATE GLASS (USG)

\r\n
    \r\n
  • An insulating film often used for PMD and ILD applications typically deposted using SACVD or HDP-CVD.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_2072656019_text-82040" class="cmp-text">

特高压

超高剂量植入器

超高清(uhd)

  • 分辨率为3840 x 2160像素的数字视频格式。
  • 超高清也被称为2160p和4K,其像素是传统高清1080p视频的四倍。

超浅结(usj)

  • 半导体制造的一个领域,专注于减少半导体的厚度连接形成了排水先进的晶体管区域,以提高性能,同时保持可接受的泄漏电流和击穿电压。

下凸起金属化(ubm)

  • 在带有焊锡凸点的倒装芯片封装中,将模具连接到衬底的沉积过程。

未掺杂硅酸盐玻璃(usg)

  • 一种绝缘薄膜,通常用于PMDILD应用程序通常销毁使用SACVDHDP-CVD

回到顶部

V

 

\r\n

VACUUM

\r\n
    \r\n
  • A pressure below atmospheric ambient, often referred to by specific pressure ranges:
  • \r\n
  • Rough vacuum, from atmosphere to 1 x 10-3 Torr
  • \r\n
  • High vacuum, from to 1 x 10-3 Torr to 1 x 10-9 Torr
  • \r\n
  • Ultra-high vacuum (UHV) – below 1 x 10-9 Torr
  • \r\n
\r\n

\r\n

VAPORIZER

\r\n
    \r\n
  • In ion implantation, a device used to convert solid state ion source material into a gaseous state for ion beam production.
  • \r\n
\r\n

\r\n

VIAS

\r\n
    \r\n
  • Vertical pathways through dielectric layers that make electrical connections between interconnect layers.
  • \r\n
\r\n

\r\n

VLSI (VERY LARGE SCALE INTEGRATION)

\r\n
    \r\n
  • A chip with between 10,000 and 1,000,000 transistors on a single die. The term is often extended to describe chips with any number of transistors greater than 10,000. Other terms such as ultra-large scale integration (ULSI) were coined, but are no longer in widespread use.
  • \r\n
\r\n

\r\n

VNAND (VERTICAL NAND)

\r\n
    \r\n
  • Also known as 3D NAND array.
  • \r\n
  • A class of flash memory architectures where multiple two-dimensional arrays of memory cells are layered vertically on a single substrate (as opposed to stacking using wafer-level packaging).
  • \r\n
  • VNAND is a method of increasing bit density without necessarily decreasing the size of each individual cell.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_1964443139_text-58757" class="cmp-text">

真空

  • 低于大气压的压力,通常指特定的压力范围:
  • 大致真空,从大气压到1 × 10-3托
  • 高真空,从1 × 10-3托到1 × 10-9托
  • 超高真空(UHV) -低于1 × 10-9托

汽化器

  • 离子注入,一种用于将固态离子源材料转换为气态以产生离子束的装置。

通过

  • 通过介电层的垂直路径,使介电层之间产生电连接互连层。

超大规模集成电路

  • 一个芯片上有10,000到1,000,000个晶体管。这个术语通常被扩展到晶体管数量大于10,000的芯片。其他术语如超大规模集成(ULSI)也被创造出来,但不再广泛使用。

Vnand(垂直nand)

  • 也称为3D NAND阵列。
  • 一类闪存存储单元的多个二维阵列垂直分层在单个基板上的结构(与堆叠使用相反)wafer-level包装).
  • VNAND是一种增加的方法位密度没有必要减少每个细胞的大小。

回到顶部

W

 

\r\n

WAFER

\r\n
    \r\n
  • The thin, circular or nearly square slices of mono- or multicrystalline silicon on which semiconductors and PV cells are built.
  • \r\n
\r\n

\r\n

WAFER-LEVEL PACKAGING (WLP)

\r\n
    \r\n
  • The technology of packaging an IC while still part of the wafer, rather than slicing the wafer into individual circuits (dice) and then packaging those.
  • \r\n
\r\n

\r\n

WAFERING

\r\n
    \r\n
  • The process of dividing silicon ingots or bricks into wafers.
  • \r\n
\r\n

\r\n

WAVEGUIDE

\r\n\r\n

\r\n

WAVEGUIDE LINER

\r\n
    \r\n
  • A protective liner made of metal or graphite installed inside the waveguide. Unwanted ion species give up their energy on these liners.
  • \r\n
\r\n

\r\n

WEB

\r\n
    \r\n
  • Another name for roll-to-roll coating technology, where thin films of material are deposited on rolls of flexible material.
  • \r\n
\r\n

\r\n

WET CLEAN

\r\n
    \r\n
  • A process for removing unwanted material or contaminants from substrates using liquid chemistry between process steps.
  • \r\n
\r\n

\r\n

WETTING

\r\n
    \r\n
  • Ability to spread out evenly over a solid surface instead of forming discrete droplets.
  • \r\n
\r\n

\r\n

WIRE SAW

\r\n
    \r\n
  • A machine that uses a moving wire to perform three key steps in the manufacture of silicon wafers.
  • \r\n
\r\n
    \r\n
  1. Cropping - removing the tapered ends of monocrystalline silicon ingots
  2. \r\n
  3. Squaring - turning the cylindrical cropped ingot into rectangular blocks, or bricks. In polycrystalline wafer manufacturing, this step is used to cut large cast ingots into bricks
  4. \r\n
  5. Wafering - cutting the bricks into individual wafers
  6. \r\n
\r\n


\r\n

WORD LINE

\r\n
    \r\n
  • Connection between gates of all transistors in a certain row of a memory array segment.
  • \r\n
\r\n

\r\n

WP

\r\n
    \r\n
  • Watt peak, a solar industry unit for the power of a solar cell delivered under ideal irradiation conditions.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_257668203_text-74771" class="cmp-text">

晶片

  • 薄的,圆形的或近方形的单瓣multicrystalline制造半导体和光伏电池的硅。

晶圆级封装(wlp)

  • 包装技术集成电路虽然晶圆仍然是晶圆的一部分,但与将晶圆切割成单个电路相比(骰子),然后包装。

压扁

  • 将硅锭或硅砖分割成晶圆的过程。

波导

波导班轮

  • 由金属或石墨制成的保护衬垫,安装在波导。不需要的离子在这些衬里释放能量。

网络

  • 卷对卷涂层技术的另一种叫法,将材料薄膜沉积在柔性材料卷上。

湿清洁

  • 在工艺步骤之间使用液体化学从基材上去除不需要的物质或污染物的工艺。

润湿

  • 能够在固体表面均匀扩散,而不是形成离散的液滴。

线锯

  • 在硅晶圆的制造过程中,使用移动导线来完成三个关键步骤的机器。
  1. 裁剪-去除单晶硅锭的锥形端
  2. 将圆柱形的铸锭切成矩形块或砖。在多晶硅晶片制造中,此步骤用于将大型铸锭切割成砖
  3. 晶圆-将砖切割成单独的晶圆


字线

  • 所有大门之间的连接晶体管在内存数组段的某一行中。

WP

  • 瓦特峰值,太阳能工业单位的太阳能电池在理想的辐照条件下交付的功率。

回到顶部

Y

 

\r\n

YIELD

\r\n
    \r\n
  • The percentage of product (e.g. wafers or die) produced in a process that conforms to specifications.
  • \r\n
\r\n

Back to Top

\r\n"}}" id="root_container_container_container_179587571_text-6659" class="cmp-text">

收益率

  • 在符合规格的工艺过程中生产的产品(如晶圆或模具)的百分比。

回到顶部